From fa2eedb7bd906634b8c500ba0d91638e63eaafed Mon Sep 17 00:00:00 2001 From: Roman Zolotarev Date: Sat, 16 Apr 2016 16:57:58 +0800 Subject: [PATCH] Add Roman's single layer layout based on Norman --- .../romanzolotarev-norman-osx/keymap.c | 45 + .../romanzolotarev-norman-osx/readme.md | 28 + .../romanzolotarev-norman-osx.hex | 1092 +++++++++++++++++ .../romanzolotarev-norman-osx.png | Bin 0 -> 96954 bytes 4 files changed, 1165 insertions(+) create mode 100644 keyboard/ergodox_ez/keymaps/romanzolotarev-norman-osx/keymap.c create mode 100644 keyboard/ergodox_ez/keymaps/romanzolotarev-norman-osx/readme.md create mode 100644 keyboard/ergodox_ez/keymaps/romanzolotarev-norman-osx/romanzolotarev-norman-osx.hex create mode 100644 keyboard/ergodox_ez/keymaps/romanzolotarev-norman-osx/romanzolotarev-norman-osx.png diff --git a/keyboard/ergodox_ez/keymaps/romanzolotarev-norman-osx/keymap.c b/keyboard/ergodox_ez/keymaps/romanzolotarev-norman-osx/keymap.c new file mode 100644 index 00000000..e23c5a1d --- /dev/null +++ b/keyboard/ergodox_ez/keymaps/romanzolotarev-norman-osx/keymap.c @@ -0,0 +1,45 @@ +#include "ergodox_ez.h" +#include "debug.h" +#include "action_layer.h" + +#define BASE 0 + +const uint16_t PROGMEM keymaps[][MATRIX_ROWS][MATRIX_COLS] = { + [BASE] = KEYMAP( + KC_GRV, KC_1, KC_2, KC_3, KC_4, KC_5, KC_F5, + KC_TAB, KC_Q, KC_W, KC_D, KC_F, KC_K, KC_BSLS, + CTL_T(KC_ESC), KC_A, KC_S, KC_E, KC_T, KC_G, + KC_LSFT, KC_Z, KC_X, KC_C, KC_V, KC_B, KC_LBRC, + KC_F1, KC_F2, KC_F3, KC_F4, KC_LGUI, + /*-*/ /*-*/ /*-*/ /*-*/ /*-*/ KC_VOLD, KC_MUTE, + /*-*/ /*-*/ /*-*/ /*-*/ /*-*/ /*-*/ KC_VOLU, + /*-*/ /*-*/ /*-*/ /*-*/ /*-*/ KC_BSPC, CTL_T(KC_ESC), KC_LALT, + // + /*-*/ KC_F6, KC_6, KC_7, KC_8, KC_9, KC_0, KC_EQL, + /*-*/ KC_NO, KC_J, KC_U, KC_R, KC_L, KC_SCLN, KC_MINS, + /*-*/ /*-*/ KC_Y, KC_N, KC_I, KC_O, KC_H, KC_ENT, + /*-*/ KC_RBRC, KC_P, KC_M, KC_COMM, KC_DOT, KC_SLSH, KC_RSFT, + /*-*/ /*-*/ /*-*/ KC_RGUI, KC_LEFT, KC_DOWN, KC_UP, KC_RGHT, + KC_MPLY, KC_MNXT, + KC_MPRV, + KC_RALT, KC_QUOT, KC_SPC + ) +}; + +const uint16_t PROGMEM fn_actions[] = { +}; + +const macro_t *action_get_macro(keyrecord_t *record, uint8_t id, uint8_t opt) { +}; + +// Runs just one time when the keyboard initializes. +void matrix_init_user(void) { + ergodox_board_led_off(); + ergodox_right_led_1_off(); + ergodox_right_led_2_off(); + ergodox_right_led_3_off(); +}; + +// Runs constantly in the background, in a loop. +void matrix_scan_user(void) { +}; diff --git a/keyboard/ergodox_ez/keymaps/romanzolotarev-norman-osx/readme.md b/keyboard/ergodox_ez/keymaps/romanzolotarev-norman-osx/readme.md new file mode 100644 index 00000000..80475ab2 --- /dev/null +++ b/keyboard/ergodox_ez/keymaps/romanzolotarev-norman-osx/readme.md @@ -0,0 +1,28 @@ +# Roman's Layout + +There is only one layer based on [Norman layout](https://normanlayout.info/). + +Looking for multiple-layer layouts? + +- [Symbols, arrows, plover, HJKL arrows](../romanzolotarev-norman-plover-osx-hjkl/) +- [Same with IJKL arrows](../romanzolotarev-norman-plover-osx/) + +[![keyboard-layout](romanzolotarev-norman-osx.png)](http://www.keyboard-layout-editor.com/#/gists/9e89d54f1ea6eeeb7dab1b2d19d28195) + +## Functional Keys + +- Tap `F1` to mute microphone via [Shush](http://mizage.com/shush/). +- Tap `F2` to copy screenshot to the clipboard. +- Hold `SHIFT` and tap `F2` to save screenshot as a file. +- Tap `F3`, `F4`, `F5`, `F6` to resize a window via [Divvy](http://mizage.com/divvy/). + +## CTRL/ESC + +CTRL and ESC are frequently used in Vim. + +- Tap `CTRL/ESC` to send `ESC`. +- Hold `CTRL/ESC` to use as `CTRL`. + +## Activate N-rollover + +- Hold left `SHIFT` and right `SHIRT` and then tap `N`. diff --git a/keyboard/ergodox_ez/keymaps/romanzolotarev-norman-osx/romanzolotarev-norman-osx.hex b/keyboard/ergodox_ez/keymaps/romanzolotarev-norman-osx/romanzolotarev-norman-osx.hex new file mode 100644 index 00000000..1be94c43 --- /dev/null +++ b/keyboard/ergodox_ez/keymaps/romanzolotarev-norman-osx/romanzolotarev-norman-osx.hex @@ -0,0 +1,1092 @@ +:100000000C94BB010C94FF010C94FF010C94FF01B4 +:100010000C94FF010C94FF010C94FF010C94FF0160 +:100020000C94FF010C94FF010C94E20A0C94B40BA5 +:100030000C94FF010C94FF010C94FF010C94FF0140 +:100040000C94FF010C9432200C94FF010C94FF01DE +:100050000C94FF010C94BD190C94FF010C94FF014A +:100060000C94FF010C94FF010C94FF010C94FF0110 +:100070000C94FF010C94FF010C94FF010C94FF0100 +:100080000C94FF010C94FF010C94FF010C94FF01F0 +:100090000C94FF010C94FF010C94FF010C94FF01E0 +:1000A0000C94FF010C94FF010C94FF01210C430CF4 +:1000B000310D430C310D880CAB0C310D000D130DBF +:1000C000FF0FFF0F3C103C107F10BD10D612D61250 +:1000D000D610D612D711D711AA12D612D612C11223 +:1000E00035002B002971E1003A0000001E001400C9 +:1000F00004001D003B00E2001F001A0016001B0058 +:100100003C00297120000700080006003D002A007D +:100110002100090017001900E300A90022000E00C9 +:100120000A0005000000AA003E00310000002F0078 +:100130000000A8003F000000000030000000AE00FA +:1001400023000D001C0013000000AB002400180069 +:1001500011001000E700AC00250015000C0036006F +:1001600050002C0026000F00120037005100340010 +:10017000270033000B0038005200E6002E002D004F +:100180002800E5004F0000001603450072006700DC +:100190006F0044006F007800200045005A00000006 +:1001A00016034500720067006F0044006F0078007E +:1001B000200045005A0000000403090409026D00F4 +:1001C000040100A0FA090400000103010100092153 +:1001D00011010001223F000705810308000A0904FC +:1001E00001000103010200092111010001224D005B +:1001F0000705820308000A09040200010300000049 +:100200000921110100012236000705830308000AB5 +:10021000090403000103000000092111010001226B +:1002200039000705840310000112011001000000CD +:1002300008EDFE071301000102000105010906A1F6 +:1002400001050719E029E7150025019508750181C9 +:100250000205081901290595057501910295017599 +:100260000391010507190029771500250195787577 +:10027000018102C005010980A10185021601002645 +:10028000B7001A01002AB700751095018100C0055A +:100290000C0901A1018503160100269C021A010028 +:1002A0002A9C02751095018100C005010902A10177 +:1002B0000901A10005091901290515002501950568 +:1002C000750181029501750381010501093009312C +:1002D0001581257F95027508810609381581257FCE +:1002E000950175088106050C0A38021581257F9550 +:1002F0000175088106C0C005010906A1010507199D +:10030000E029E71500250195087501810295017521 +:1003100008810105081901290595057501910295C6 +:1003200001750391010507190029FF150025FF95A7 +:100330000675088100C000000000000000000000F9 +:1003400000010204060A0F17202C3A4A5D71879DAE +:10035000B3C7DAE9F5FCFFFCF5E9DAC7B39D8771AD +:100360005D4A3A2C20170F0A060402010000000023 +:1003700000000000000011241FBECFEFDAE0DEBF56 +:10038000CDBF04B603FE24C08091EF019091F0012F +:10039000A091F101B091F2018730904BA740B04B92 +:1003A000B9F41092EF011092F0011092F101109245 +:1003B000F20114BE84B7877F84BF88E10FB6F8943A +:1003C00080936000109260000FBEE0E0FFE30995AB +:1003D00011E0A0E0B1E0E8EEF3E402C005900D9278 +:1003E000A433B107D9F711E0A4E3B1E001C01D9235 +:1003F000AF3EB107E1F70E9426080C94F2210C945D +:100400000000089556985E9825982D9826982E9865 +:1004100027982F98089508950C940B0256985E988B +:1004200025982D9826982E9827982F988FEF90E052 +:10043000909389008093880090938B0080938A002A +:1004400090938D0080938C00259A2D9A2FEF80E752 +:1004500092E0215080409040E1F700C00000269AD1 +:100460002E9A2FEF80E792E0215080409040E1F7F4 +:1004700000C00000279A2F9A2FEF80E792E02150CA +:1004800080409040E1F700C0000025982D982FEFA4 +:1004900080E792E0215080409040E1F700C00000EA +:1004A00026982E982FEF80E792E0215080409040D0 +:1004B000E1F700C0000027982F9856985E9825987D +:1004C0002D9826982E9827982F98089589EA80933A +:1004D000800089E08093810024982C983F988AB10D +:1004E0008F748AB96E98479A8BB1806B8BB9769A64 +:1004F0000E940E020C94020280E28093000180911F +:10050000340181110EC00E94CB0281E0809334013E +:100510002FEF83ED90E3215080409040E1F700C041 +:10052000000080E40E94D1028093000181112EC05E +:100530000E94FB0280930001811128C00E94FB02EF +:1005400080930001811122C08FE30E94FB028093FF +:10055000000181111BC00E94F30280E40E94D102BD +:1005600080930001811112C08CE00E94FB028093F5 +:10057000000181110BC00E94FB02809300018111D8 +:1005800005C08FE30E94FB02809300010E94F302EA +:100590008091000108951092B9008AE08093B8001C +:1005A000089594EA9093BC009091BC0097FFFCCF13 +:1005B0009091B900987F983021F0903111F081E04E +:1005C00008958093BB0084E88093BC008091BC00B8 +:1005D00087FFFCCF8091B900887F883111F080348B +:1005E00071F780E0089584E98093BC008091BC009D +:1005F00084FDFCCF08958093BB0084E88093BC0009 +:100600008091BC0087FFFCCF9091B900987F81E07A +:10061000983209F480E0089584E88093BC008091CA +:10062000BC0087FFFCCF8091BB0008958091000142 +:10063000811115C080E40E94D102809300018111D4 +:100640000CC082E10E94FB0280930001811105C071 +:100650008FEF0E94FB02809300010E94F30284B19D +:10066000807F84B985B1807F85B98AB1837F8AB95B +:100670008BB1837F8BB93E98469808950E947C0287 +:10068000809300010E94160380B38C7080BB81B3FD +:10069000836F81BBA6E3B1E0E4E4F1E08EE08E0F6E +:1006A00011921D928E13FCCF0C946602BF92CF92D2 +:1006B000DF92EF92FF920F931F93CF93DF9380917E +:1006C0000001882379F0809135018F5F8093350197 +:1006D000811108C00E947C0280930001811102C038 +:1006E0000E940E0206E311E0C0E0D0E0DD24D394C6 +:1006F00082E0C82EEE24E394F12CC730D10500F53A +:1007000080910001811164C080E40E94D102809335 +:100710000001811112C082E10E94FB02809300015E +:1007200081110BC0C7010C2E01C0880F0A94EAF793 +:1007300080950E94FB02809300010E94F30248C052 +:10074000CA30A1F028F4C83059F0C93061F005C0B2 +:10075000CC3089F070F0CD3089F0209A289810C004 +:10076000219A29980DC0229A2A980AC0239A2B9878 +:1007700007C0529A01C0539A5B9802C03E9A4698AD +:1007800090EA9A95F1F79FB1799902C082E001C091 +:1007900080E091709D25982B7C9902C084E001C077 +:1007A00080E0892B7D9902C038E001C030E0832BC6 +:1007B0007E9902C020E101C020E0822B9FB190957C +:1007C000991F9927991F9295990F907E892B0FC099 +:1007D00080910001811149C080E40E94D102809380 +:1007E0000001882379F1B12C0E94F3028B2DF801CE +:1007F0009081981719F08083C09201010E9416031E +:1008000021960F5F1F4FCE30D10509F076CF809132 +:100810000101882361F1815080930101882339F01F +:100820008FE99FE00197F1F700C0000020C0A4E429 +:10083000B1E0E6E3F1E0CF01825F91919D938E13E9 +:10084000FCCF15C083E10E94FB028093000181115F +:10085000CACF81E40E94D102809300018111C3CFED +:100860000E940C03B82EB094BFCF80E0C0CF0E948E +:100870000C0281E0DF91CF911F910F91FF90EF90DB +:10088000DF90CF90BF900895E82FF0E0EC5BFE4F33 +:100890008081089508958B3B910578F4883A9105FD +:1008A00040F5853A9105D0F48430910508F0B1C047 +:1008B0008130910509F4ADC06BC0803E910508F40C +:1008C00067C0883E910508F4A4C09C01205F3109EF +:1008D0002031310508F05CC090650895853A910596 +:1008E00009F459C0863A910509F058C082E890E4AD +:1008F0000895883A910509F454C0893A910509F49C +:1009000053C08A3A910509F452C08B3A910509F413 +:1009100051C08C3A910509F450C08D3A910509F403 +:100920004FC0803B910509F44EC08E3A910509F401 +:100930004DC08F3A910509F44CC0813B910509F4F3 +:100940004BC0823B910509F44AC0833B910509F4F1 +:1009500049C0843B910509F448C0853B910509F4E1 +:1009600047C0863B910509F446C0873B910509F4D1 +:1009700045C0883B910509F444C0893B910509F4C1 +:1009800043C08A3B910509F442C080E094E4089595 +:1009900080E090E0089581E890E4089583E890E491 +:1009A000089582EE94E4089589EE94E408958AEE21 +:1009B00094E4089585EB94E4089586EB94E4089517 +:1009C00087EB94E408958CEC94E408958DEC94E422 +:1009D000089583E895E408958AE895E4089582E906 +:1009E00095E4089584E995E4089581E296E40895F4 +:1009F00083E296E4089584E296E4089585E296E41D +:100A0000089586E296E4089587E296E408958AE2DE +:100A100096E40895089596E0799FF001112494E5F5 +:100A2000899FE00DF11D1124E60FF11DEE0FFF1F50 +:100A3000E052FF4F859194910895880F991FFC0112 +:100A4000E05AF040859194910895880F991FFC0118 +:100A5000E052FF4F85919491089526E0729FF00136 +:100A6000112444E5849FE00DF11D1124E60FF11DD2 +:100A7000EE0FFF1FE052FF4F859194919C013A9534 +:100A800021154FE1340708F41CC13F5121153041B5 +:100A900020F49F700E94250508959C013053211574 +:100AA000304118F49927906C0895811520E592073C +:100AB00071F40E94FA124FEF84E39CE041508040B1 +:100AC0009040E1F700C000000E94E219FAC08130B6 +:100AD00020E5920731F48091CD0181608093CD01B2 +:100AE000F0C09C0130552115304108F051C0292F2C +:100AF00033272F70332721303105D9F4AC014370EF +:100B0000552702C0220F331F4A95E2F73C68AC011B +:100B100064E0440F551F6A95E1F744275370242B76 +:100B2000352B73E0880F991F7A95E1F78076992726 +:100B30001DC02230310529F4982F8827816F906AD3 +:100B4000089523303105A9F4AC014370552721E005 +:100B500030E002C0220F331F4A95E2F73C6843E0C1 +:100B6000880F991F4A95E1F7807E9770822B932B0F +:100B7000089524303105E1F4AC014370552721E09C +:100B800030E002C0220F331F4A95E2F73A68E7CF00 +:100B90009C0130572115304118F49F7090620895E0 +:100BA0009C0130582115304118F49F70906A0895C7 +:100BB000803E9105E0F4803C910558F58133910524 +:100BC00009F46FC048F48932910509F463C08A3290 +:100BD000910509F46DC072C089339105E9F082383E +:100BE0009105D1F08533910509F44DC067C0833E6E +:100BF000910581F138F4803E9105B9F0823E91056E +:100C0000D9F05CC0863E910561F1873E9105A1F166 +:100C100055C00E941D0508952091E00120FD02C0ED +:100C200021FF4CC080EE90E049C08091E00180FF40 +:100C3000F9CF89E390E042C08091E00182FF0EC0CD +:100C40008091E00184FF03C080E090E037C083EE34 +:100C500090E034C08091E00182FFF2CF82EE90E01C +:100C60002DC08091E00183FF0BC08091E00184FDE5 +:100C7000EBCF87EE90E022C08091E00183FFF5CFBB +:100C800086EE90E01BC08091E00185FF07C089E2FD +:100C900090E014C08091E00185FFF9CF85E390E0FA +:100CA0000DC08091E00186FF07C08AE290E006C097 +:100CB0008091E00186FFF9CF81E390E00E944B0430 +:100CC0000895089508950C94620680916201089534 +:100CD000CF93DF9300D01F92CDB7DEB79C018091F8 +:100CE000E601843019F593E099833B832A8390933E +:100CF000E9008FEF9091E800815095FD06C095EDD9 +:100D00009A95F1F700008111F5CF8091E80085FFF9 +:100D10000DC040E050E063E070E0CE0101960E941B +:100D2000D2088091E8008E778093E8000F900F90B2 +:100D30000F90DF91CF910895CF93DF9300D01F9252 +:100D4000CDB7DEB72091E601243021F522E02983DA +:100D50009B838A8383E08093E9008FEF9091E80082 +:100D6000815095FD06C095ED9A95F1F7000081112F +:100D7000F5CF8091E80085FF0DC040E050E063E0D2 +:100D800070E0CE0101960E94D2088091E8008E7733 +:100D90008093E8000F900F900F90DF91CF9108950E +:100DA0002091E6012430F1F422E02093E9002FEFB6 +:100DB0003091E800215035FD06C035ED3A95F1F748 +:100DC00000002111F5CF2091E80025FF0BC040E085 +:100DD00050E065E070E00E94D2088091E8008E77D4 +:100DE0008093E8000895CF93DF93EC019091E601A2 +:100DF000943009F046C080910C018823D9F080918D +:100E00000D018823B9F09093E9008FEF9091E800ED +:100E1000815095FD06C095E19A95F1F7000081118A +:100E2000F5CF8091E80085FF2CC040E050E060E104 +:100E300070E017C081E08093E9008FEF9091E800A7 +:100E4000815095FD06C095ED9A95F1F7000081114E +:100E5000F5CF8091E80085FF14C040E050E068E0E5 +:100E600070E0CE010E94D2088091E8008E778093D6 +:100E7000E80080E1FE01A2E5B1E001900D928A95C3 +:100E8000E1F7DF91CF9108958091E501811109C0CB +:100E90000E94670A0E94C40A8091E20084608093E5 +:100EA000E20008951092E501089508950C941F2022 +:100EB0000E9478190E9425200E946A0D0C946306F6 +:100EC00042E061EC81E00E94E10942E061EC82E0F5 +:100ED0000E94E10942E061EC83E00E94E10942E105 +:100EE00061EC84E00C94E1098091E801833009F41D +:100EF00055C030F4813071F0823009F48EC008950D +:100F00008A3009F47AC08B3009F460C0893009F066 +:100F10009CC020C08091E701813A09F096C0809181 +:100F2000E800877F8093E8008091EB019091EC01CD +:100F3000892B21F460E182E591E003C060E080E06C +:100F400090E070E00E941D098091E8008B7780930B +:100F5000E80008958091E701813209F076C0809120 +:100F6000EB019091EC01009719F0039709F06DC027 +:100F70008091E800877F8093E8008091E80082FDFF +:100F800005C08091E6018111F8CF5FC08091F1002A +:100F9000809362018091E8008B7753C08091E701D4 +:100FA000813A09F052C08091EB019091EC01892BBC +:100FB00009F04BC08091E800877F8093E800809122 +:100FC000E80080FFFCCF80910C0136C08091E701E2 +:100FD0008132D9F58091EB019091EC01892BA9F533 +:100FE0008091E800877F8093E8000E94160A809134 +:100FF000E90180930C010C94FA128091E70181328F +:1010000021F58091E800877F8093E8000E94160A0E +:101010008091EA018093630108958091E701813A0C +:10102000A1F48091E800877F8093E8008091E80038 +:1010300080FFFCCF809163018093F1008091E800F4 +:101040008E778093E8000C94160A089584B7877F02 +:1010500084BF88E10FB6F89480936000109260001E +:101060000FBE90E080E80FB6F894809361009093F3 +:1010700061000FBE0E94B90D0E94670A0E94C40A57 +:101080008091E20084608093E20078940E944A0D8F +:101090000E94BB0D82E091E00E94650D0E940D2030 +:1010A0008091E601853069F40E9439198091E4014C +:1010B0008823B1F30E946419882391F30E94BC082D +:1010C000EFCF0E94C10DECCF292F332723303105FC +:1010D000C9F064F42130310581F02230310509F086 +:1010E00043C08DE690E02CEB31E042C02132310567 +:1010F000F1F02232310541F137C082E190E029E27E +:1011000032E036C099278130910541F08230910557 +:1011100041F0892B49F5E8EBF1E005C0E0EAF1E0A8 +:1011200002C0E8E8F1E0849190E09F0121C06430C2 +:10113000D8F4E62FF0E0EE0FFF1FEE5DFE4F2081AA +:10114000318189E090E014C0643070F470E0FB01FC +:10115000EE0FFF1FE65EFE4F20813181FB01EA5E4C +:10116000FE4F808190E004C080E090E020E030E01D +:10117000FA0131832083089580E189BD82E189BD30 +:1011800009B400FEFDCF8091D8008F7D8093D800F8 +:101190008091E00082608093E0008091E00081FD1A +:1011A000FCCF0895CF92DF92EF92FF920F931F939F +:1011B000CF93DF93EC018B016A010E94350A811104 +:1011C00033C0C114D10439F0F60180819181081B2C +:1011D000190BC80FD91FE12CF12C0115110519F1BC +:1011E0008091E80085FD16C08091E8008E7780939D +:1011F000E800C114D10449F0F60180819181E80E24 +:10120000F91EF182E08285E00FC00E94350A882332 +:1012100021F30AC089918093F10001501109FFEF79 +:10122000EF1AFF0ADACF80E0DF91CF911F910F9183 +:10123000FF90EF90DF90CF9008952091ED013091D5 +:10124000EE012617370748F06115710539F4209132 +:10125000E8002E772093E80001C0B90140E0611555 +:101260007105A9F12091E601222309F443C025303C +:1012700009F442C02091E80023FD40C02091E8001D +:1012800022FD32C02091E80020FFE9CF4091F30019 +:101290002091F20030E0342BFC01CF016115710583 +:1012A00059F02830310540F481918093F10061506C +:1012B00071092F5F3F4FF1CF41E02830310509F030 +:1012C00040E02091E8002E772093E800C8CF41113C +:1012D000C9CF0AC08091E601882361F0853061F0B2 +:1012E0008091E80083FD0AC08091E80082FFF2CF80 +:1012F00080E0089582E0089583E0089581E00895F4 +:101300002091ED013091EE012617370748F0611565 +:10131000710539F42091E8002E772093E80001C090 +:10132000B901FC0120E06115710591F18091E601A0 +:10133000882309F440C0853009F43FC08091E8005B +:1013400083FD3DC08091E80082FD2FC08091E800C0 +:1013500080FFE9CF2091F3008091F20090E0922B82 +:101360006115710559F08830910540F4249120935E +:10137000F1003196615071090196F2CF21E0089792 +:1013800009F020E08091E8008E778093E800CBCFD1 +:101390002111CCCF0AC08091E601882361F085300D +:1013A00061F08091E80083FD0AC08091E80082FF2F +:1013B000F2CF80E0089582E0089583E0089581E00F +:1013C0000895982F973058F59093E900981739F0C1 +:1013D0007091EC002091ED005091F00003C0242F9B +:1013E000762F50E021FF19C03091EB003E7F309303 +:1013F000EB003091ED003D7F3093ED003091EB003C +:1014000031603093EB007093EC002093ED0050932B +:10141000F0002091EE0027FF07C09F5FD3CF8F70B1 +:101420008093E90081E0089580E008958091E701CC +:1014300087FF11C08091E80082FD05C08091E60120 +:101440008111F8CF11C08091E8008B770BC080919B +:10145000E601882349F08091E80080FFF8CF809171 +:10146000E8008E778093E80008952091E4003091A1 +:10147000E50095E64091EC00842F817040FF22C08A +:101480008091E80080FD1CC08091E601882391F0E6 +:10149000853091F08091EB0085FD10C04091E40013 +:1014A0005091E5004217530729F39A01915011F723 +:1014B00084E0089582E0089583E0089581E008952E +:1014C00080E008954091E80042FFDECF08950E9439 +:1014D000D50A0E94DD0AE0EEF0E080818160808321 +:1014E000E8EDF0E080818F77808319BCA7EDB0E054 +:1014F0008C918E7F8C9380818F7E80831092E5010A +:1015000008950F931F93CF93DF930E94D50A0E94F3 +:10151000DD0AC8EDD0E088818F7788838881806874 +:10152000888388818F7D888319BC1092E601109290 +:10153000E2011092E4011092E30100EE10E0F801E4 +:1015400080818B7F808388818160888342E060E036 +:1015500080E00E94E109E1EEF0E080818E7F8083EF +:10156000E2EEF0E08081816080838081886080830A +:10157000F80180818E7F8083888180618883DF91FC +:10158000CF911F910F910895E8EDF0E080818F7E5B +:101590008083E7EDF0E080818160808384E082BF1A +:1015A00081E08093E5010C94810AE8EDF0E0808110 +:1015B0008E7F80831092E20008951092DA001092DC +:1015C000E10008951F920F920FB60F9211242F93EE +:1015D0003F934F935F936F937F938F939F93AF93BB +:1015E000BF93EF93FF938091E10082FF0BC0809146 +:1015F000E20082FF07C08091E1008B7F8093E100D1 +:101600000E94490D8091DA0080FF1FC08091D800B0 +:1016100080FF1BC08091DA008E7F8093DA0080917A +:10162000D90080FF0DC080E189BD82E189BD09B488 +:1016300000FEFDCF81E08093E6010E94440705C0D3 +:1016400019BC1092E6010E9452078091E10080FFD0 +:1016500019C08091E20080FF15C08091E2008E7F6A +:101660008093E2008091E20080618093E2008091AB +:10167000D80080628093D80019BC85E08093E60191 +:101680000E9456078091E10084FF30C08091E20003 +:1016900084FF2CC080E189BD82E189BD09B400FED0 +:1016A000FDCF8091D8008F7D8093D8008091E1009C +:1016B0008F7E8093E1008091E2008F7E8093E20034 +:1016C0008091E20081608093E2008091E2018823B2 +:1016D00011F084E007C08091E30087FD02C081E043 +:1016E00001C083E08093E6010E9458078091E100E9 +:1016F00083FF29C08091E20083FF25C08091E10033 +:10170000877F8093E10082E08093E6011092E201FE +:101710008091E1008E7F8093E1008091E2008E7FD6 +:101720008093E2008091E20080618093E20042E0D9 +:1017300060E080E00E94E1098091F0008860809381 +:10174000F0000E945507FF91EF91BF91AF919F91DB +:101750008F917F916F915F914F913F912F910F905A +:101760000FBE0F901F9018951F920F920FB60F92F9 +:1017700011242F933F934F935F936F937F938F9396 +:101780009F93AF93BF93CF93DF93EF93FF93C0915A +:10179000E900CF708091EC00D82FD17080FDD0E8A7 +:1017A0001092E9008091F000877F8093F000789498 +:1017B0000E94F80B1092E9008091F00088608093FD +:1017C000F000CD2BCF70C093E900FF91EF91DF9136 +:1017D000CF91BF91AF919F918F917F916F915F91C9 +:1017E0004F913F912F910F900FBE0F901F90189522 +:1017F0001F93CF93DF93CDB7DEB7AA970FB6F894B8 +:10180000DEBF0FBECDBFE7EEF1E088E08E0F909116 +:10181000F10091938E13FBCF0E9474078091E80032 +:1018200083FF1FC18091E7019091E801492F50E0AB +:101830004A30510508F015C1FA01EA5AFF4F0C94DD +:10184000A721803881F0823809F00BC18091EB012B +:101850008F708093E9008091EB0085FB882780F9E9 +:101860001092E90006C08091E3019091E40191118A +:1018700082609091E800977F9093E8008093F10058 +:101880001092F100C8C0282F2D7F09F0EAC08823EC +:1018900019F0823061F0E5C08091E901813009F0F2 +:1018A000E0C0933009F080E08093E4012BC0809188 +:1018B000E901811127C08091EB018F7009F4D1C03B +:1018C0008093E9002091EB0020FF1CC0933021F4AD +:1018D0008091EB00806214C09091EB009061909336 +:1018E000EB0021E030E0A90102C0440F551F8A95AA +:1018F000E2F74093EA001092EA008091EB008860E2 +:101900008093EB001092E9008091E800877F86C009 +:101910008111A7C01091E9011F778091E3008078C1 +:10192000812B8093E3008091E800877F8093E8001B +:101930000E94160A8091E80080FFFCCF8091E300AE +:1019400080688093E300111102C082E001C083E04F +:101950008093E60186C08058823008F082C0809172 +:10196000E9019091EA018C3D53E0950779F583E018 +:101970008A838AE289834FB7F894DE01139620E0C8 +:101980003EE051E2E32FF0E050935700E49120FF56 +:1019900003C0E295EF703F5FEF708E2F90E0EA306A +:1019A00010F0C79601C0C0968D939D932F5F243190 +:1019B00049F74FBF8091E800877F8093E8006AE293 +:1019C00070E0CE0101960E941D0914C0AE014F5F68 +:1019D0005F4F6091EB010E946408BC01892B09F400 +:1019E00040C09091E800977F9093E80089819A81A8 +:1019F0000E9480098091E8008B778093E80031C0D5 +:101A0000803879F58091E800877F8093E8008091A5 +:101A1000E2018093F1008091E8008E778093E800E6 +:101A20000E94160A1EC081111CC09091E9019230DB +:101A3000C0F48091E800877F8093E8009093E201F2 +:101A40000E94160A8091E201811106C08091E30094 +:101A500087FD02C081E001C084E08093E6010E941E +:101A600060078091E80083FF0AC08091E800877FCB +:101A70008093E8008091EB0080628093EB00AA964F +:101A80000FB6F894DEBF0FBECDBFDF91CF911F918F +:101A900008950895CF938091E6018823A1F0C09125 +:101AA000E900CF709091EC00892F817090FD80E863 +:101AB000C82B1092E9008091E80083FD0E94F80B8A +:101AC000CF70C093E900CF910895909369018093FE +:101AD00068010895E0916801F0916901309721F063 +:101AE0000190F081E02D099480E00895E091680173 +:101AF000F0916901309721F00280F381E02D099483 +:101B00000895E0916801F0916901309721F0048017 +:101B1000F581E02D099408952091660130916701C7 +:101B20008217930771F09093670180936601E091AB +:101B30006801F0916901309721F00680F781E02D6E +:101B400009940895209164013091650182179307EB +:101B500071F09093650180936401E0916801F091C8 +:101B60006901309721F00084F185E02D09940895F2 +:101B700008950C94B80D0E947E190E943E030C94A7 +:101B8000441ACF92DF92EF92FF920F931F93CF935D +:101B9000DF93CDB7DEB72B970FB6F894DEBF0FBE3D +:101BA000CDBF0E9456038BE6C82E81E0D82E00E000 +:101BB00010E0E02E802F0E944404F60141916F0155 +:101BC000F42EF826B1F40F5F1F4F0E30110589F780 +:101BD0008FEF89838A831B820E9487198160782F07 +:101BE0009D838C8349815A816B818D810E94640E13 +:101BF00040C09091CD0191FF04C08B870E944A04A0 +:101C00008B8520E030E04F2D50E0922FBA01022E5C +:101C100002C0759567950A94E2F760FF24C02E8391 +:101C2000EF8221E030E0690102C0CC0CDD1C9A9506 +:101C3000E2F790E08C219D21892B09F420E0288790 +:101C40000E9487198160782F9A8789874E815F81EA +:101C500068858A850E94640EF801E559FE4F8081EF +:101C6000C826C08206C02F5F3F4F2630310569F677 +:101C7000AACF0E942B1D10916A010E946A0D1817AD +:101C8000A1F00E946A0D80936A012B960FB6F8941A +:101C9000DEBF0FBECDBFDF91CF911F910F91FF909F +:101CA000EF90DF90CF900C9463062B960FB6F894CC +:101CB000DEBF0FBECDBFDF91CF911F910F91FF907F +:101CC000EF90DF90CF900895CF93DF93CDB7DEB73D +:101CD0002B970FB6F894DEBF0FBECDBF4F8358874A +:101CE00069877A878B87DE01119686E0FD01119264 +:101CF0008A95E9F785E0FE01379601900D928A9565 +:101D0000E1F749815A816B817C818D819E810E949E +:101D100094152B960FB6F894DEBF0FBECDBFDF91A2 +:101D2000CF9108950895CF93882309F4C2C0C82F96 +:101D3000823859F40E946A0D81FDBBC089E30E947C +:101D40003D180E940A1989E30CC0833879F40E9477 +:101D50006A0D80FDAEC083E50E943D180E940A19FD +:101D600083E50E947F18CF910C940A19843859F4A6 +:101D70000E946A0D82FD9DC087E40E943D180E946A +:101D80000A1987E4EECF8CEF8C0F813A48F48C2F40 +:101D90000E94721F81118DC08C2F0E943D18E3CFCD +:101DA00080E28C0F883048F4C77081E001C0880F52 +:101DB000CA95EAF70E94C318D6CF8BE58C0F833003 +:101DC00078F4C53A29F0C63A31F083E890E005C0CE +:101DD00081E890E002C082E890E0CF910C948C0DF5 +:101DE00088E58C0F833108F064C0C83A39F1C93AEC +:101DF00041F1CA3A49F1CB3A51F1CC3A59F1CD3AD5 +:101E000061F1C03B69F1CE3A71F1CF3A79F1C13B52 +:101E100081F1C23B89F1C33B91F1C43B99F1C53BD0 +:101E2000A1F1C63BA9F1C73BB1F1C83BB9F1C93B30 +:101E3000C1F1CA3BC9F180E090E038C082EE90E089 +:101E400035C089EE90E032C08AEE90E02FC085EB7D +:101E500090E02CC086EB90E029C087EB90E026C094 +:101E60008CEC90E023C08DEC90E020C083E891E002 +:101E70001DC08AE891E01AC082E991E017C084E9A8 +:101E800091E014C081E292E011C083E292E00EC0C2 +:101E900084E292E00BC085E292E008C086E292E024 +:101EA00005C087E292E002C08AE292E0CF910C94F2 +:101EB000A20DCF910895882309F44BC0823859F4BC +:101EC0000E946A0D81FF45C089E30E943D180E946F +:101ED0000A1989E30CC0833871F40E946A0D80FFEF +:101EE00038C083E50E943D180E940A1983E50E94CC +:101EF0007F180C940A19843859F40E946A0D82FFE5 +:101F000028C087E40E943D180E940A1987E4EFCF99 +:101F10009CEF980F913A58F390E2980F983050F454 +:101F2000877091E001C0990F8A95EAF7892F0E9486 +:101F3000C918DFCF9BE5980F933020F480E090E044 +:101F40000C948C0D885A833120F480E090E00C943E +:101F5000A20D0895882321F00E94C3180C940A1939 +:101F60000895882321F00E94C9180C940A19089535 +:101F7000BF92CF92DF92EF92FF920F931F93CF9376 +:101F8000DF9300D000D000D0CDB7DEB725E0FC0154 +:101F9000DE01119601900D922A95E1F7FC01D080A7 +:101FA00011810281258122952F7043815481452B17 +:101FB00009F4FCC21F3F21F4FFEFDF1609F4F6C25B +:101FC0007C012E830E94920ED9821A8369817A81C4 +:101FD000802F0E943918182FB92E0E94EE170E94E8 +:101FE000B5162E81002319F00E94E0182E81EB2DEA +:101FF000E295EF70F0E0E05AFF4F0C94A7218B2D93 +:10200000807F9B2D9F70F92E882319F0F29480EF2A +:10201000F822002389F0FF2009F475C280E2810FC5 +:10202000883020F48F2D0E94C31803C08F2D0E948A +:10203000D3180E940A1967C2812F0E945B0FFF20EC +:1020400009F4B4C2105E8F2D183018F40E94C9181C +:1020500002C00E94D91826960FB6F894DEBF0FBEB4 +:10206000CDBFDF91CF911F910F91FF90EF90DF9047 +:10207000CF90BF900C940A193B2D307F8B2D8F7021 +:10208000303211F08295807F112319F0113021F147 +:102090002CC00023B9F0222309F4A0C1213009F09B +:1020A0009DC126960FB6F894DEBF0FBECDBFDF915F +:1020B000CF911F910F91FF90EF90DF90CF90BF9045 +:1020C0000C94F318222319F0213009F46FC28E8387 +:1020D0000E94F6188E8196C1002321F0223008F06C +:1020E00065C27CC1211162C28DC1002321F022236F +:1020F00009F474C108C2222309F484C119C22B2D2A +:1021000026952695237030E02115310521F02130E8 +:102110003105D1F04BC2002321F0812F9B2D93700C +:1021200002C080E090E026960FB6F894DEBF0FBEA6 +:10213000CDBFDF91CF911F910F91FF90EF90DF9076 +:10214000CF90BF900C948C0D002321F0812F9B2DFC +:10215000937002C080E090E026960FB6F894DEBF40 +:102160000FBECDBFDF91CF911F910F91FF90EF90E8 +:10217000DF90CF90BF900C94A20D812F002319F017 +:102180000E94331C02C00E949B1C26960FB6F89436 +:10219000DEBF0FBECDBFDF91CF911F910F91FF909A +:1021A000EF90DF90CF90BF900C94201D8B2D83700B +:1021B00009F07BC00111FAC1812F82958695877045 +:1021C000880F880F912F9F70C92ED12CE12CF12CF4 +:1021D000082E04C0CC0CDD1CEE1CFF1C0A94D2F7A8 +:1021E00014FF12C04FE050E060E070E004C0440F04 +:1021F000551F661F771F8A95D2F7CB01BA016095EC +:1022000070958095909503C060E070E0CB012B2D18 +:10221000269526952370422F50E042305105D9F083 +:102220004330510569F16C297D298E299F29413060 +:10223000510551F126960FB6F894DEBF0FBECDBF03 +:10224000DF91CF911F910F91FF90EF90DF90CF9092 +:10225000BF900C94DC166C297D298E299F29269627 +:102260000FB6F894DEBF0FBECDBFDF91CF911F91A7 +:102270000F91FF90EF90DF90CF90BF900C94F816E5 +:102280000E94DC16C701B60126960FB6F894DEBF91 +:102290000FBECDBFDF91CF911F910F91FF90EF90B7 +:1022A000DF90CF90BF900C94C016002319F08B2DB7 +:1022B000817001C08695882309F478C1812F8295A9 +:1022C00086958770880F880F912F9F70C92ED12C0B +:1022D000E12CF12C082E04C0CC0CDD1CEE1CFF1CE4 +:1022E0000A94D2F714FF12C04FE050E060E070E0B3 +:1022F00004C0440F551F661F771F8A95D2F7CB0184 +:10230000BA01609570958095909503C060E070E08B +:10231000CB012B2D269526952370422F50E042307D +:102320005105D9F04330510569F16C297D298E2979 +:102330009F294130510551F126960FB6F894DEBF22 +:102340000FBECDBFDF91CF911F910F91FF90EF9006 +:10235000DF90CF90BF900C94B6176C297D298E2901 +:102360009F2926960FB6F894DEBF0FBECDBFDF9132 +:10237000CF911F910F91FF90EF90DF90CF90BF9082 +:102380000C94D2170E94B617C701B60126960FB655 +:10239000F894DEBF0FBECDBFDF91CF911F910F919B +:1023A000FF90EF90DF90CF90BF900C949A17103F62 +:1023B000D1F118F4103E50F4A1C0123F09F463C0EB +:1023C00008F44CC0133F09F473C098C01F708B2DE4 +:1023D0008F710023A1F00E943317812F26960FB62C +:1023E000F894DEBF0FBECDBFDF91CF911F910F914B +:1023F000FF90EF90DF90CF90BF900C94AA0F0E94B7 +:102400005417812F26960FB6F894DEBF0FBECDBFAE +:10241000DF91CF911F910F91FF90EF90DF90CF90C0 +:10242000BF900C94B10F0023A9F02111BFC08B2DD8 +:102430008F7126960FB6F894DEBF0FBECDBFDF9129 +:10244000CF911F910F91FF90EF90DF90CF90BF90B1 +:102450000C947917223008F0A9C0E9CF0023A9F025 +:102460008B2D8F7126960FB6F894DEBF0FBECDBFB1 +:10247000DF91CF911F910F91FF90EF90DF90CF9060 +:10248000BF900C943317002359F38B2D8F71269630 +:102490000FB6F894DEBF0FBECDBFDF91CF911F9175 +:1024A0000F91FF90EF90DF90CF90BF900C94541756 +:1024B000002399F08B2D8F7126960FB6F894DEBF0E +:1024C0000FBECDBFDF91CF911F910F91FF90EF9085 +:1024D000DF90CF90BF900C941E1726960FB6F894FD +:1024E000DEBF0FBECDBFDF91CF911F910F91FF9047 +:1024F000EF90DF90CF90BF900C9414170023A9F0B9 +:10250000222309F4ADCF812F26960FB6F894DEBFB3 +:102510000FBECDBFDF91CF911F910F91FF90EF9034 +:10252000DF90CF90BF900C94930E222309F4ADCF8F +:10253000812F26960FB6F894DEBF0FBECDBFDF9178 +:10254000CF911F910F91FF90EF90DF90CF90BF90B0 +:102550000C945B0F4B2D4F70612FC7010E9401023D +:1025600026960FB6F894DEBF0FBECDBFDF91CF9198 +:102570001F910F91FF90EF90DF90CF90BF900C9440 +:1025800038164B2D4F70612FC70126960FB6F89461 +:10259000DEBF0FBECDBFDF91CF911F910F91FF9096 +:1025A000EF90DF90CF90BF900C940A0526960FB65F +:1025B000F894DEBF0FBECDBFDF91CF911F910F9179 +:1025C000FF90EF90DF90CF90BF9008950E94E018A9 +:1025D0000E94F0180E94B5180E940A190E94D11D8D +:1025E0000E94201D80E090E00E948C0D80E090E031 +:1025F0000C94A20D0E94D0180C94E6120E942E1882 +:10260000292F22952F7030E02C3031054CF42A30E0 +:1026100031056CF4225031092230310588F407C0AD +:102620002C30310549F02F30310531F009C0803EA2 +:1026300048F0803F29F406C093FB882780F908956D +:1026400080E0089581E00895CF93DF9300D000D01B +:102650001F92CDB7DEB70F900F900F900F900F9095 +:10266000DF91CF910895CF93DF9300D000D000D0B9 +:10267000CDB7DEB726960FB6F894DEBF0FBECDBF3E +:10268000DF91CF9108951F93CF93DF93C09179018C +:1026900016E080917A01C81799F0D0E01C9FF001F4 +:1026A0001D9FF00D1124E558FE4F4081518162813C +:1026B0007381848195810E9433132196C770E9CF7D +:1026C000DF91CF911F9108954091AB015091AC01E2 +:1026D0006091AD017091AE018091AF019091B00118 +:1026E0000C9433138091B00182958F7009F054C01F +:1026F0008091AD01882309F44FC080917901A091A8 +:102700007A016091AB017091AC014091AE015091A2 +:10271000AF01B6E08A1709F43FC090E041155105BA +:10272000C1F17F3F11F46F3FA1F1B89FF001B99F54 +:10273000F00D1124E558FE4F218172132AC020812B +:10274000621327C02281211124C0238134812417E0 +:10275000350710F421503109241B350B283C310575 +:10276000C0F42091B0012F7020612093B00126E0C9 +:10277000289FF001299FF00D1124E058FE4F808121 +:102780008F70806180838BEA91E00E94B80F0C9477 +:10279000431301968770BECF0895CF92DF92EF92D8 +:1027A000FF920F931F93CF93DF93CDB7DEB762975E +:1027B0000FB6F894DEBF0FBECDBF8C0185E0F801E7 +:1027C000DE011D9601900D928A95E1F7D8014C919A +:1027D00011965C91119712966C9112971396CD9069 +:1027E000DC9014973091AB017091AC018091AE01F7 +:1027F0009091AF01009709F46BC17F3F19F43F3FFF +:1028000009F466C1E090AD01EE2009F4C0C020914A +:10281000B001C816D90628F0F601E81BF90BCF0164 +:1028200004C0809590958C0D9D1DFF24F394883CE9 +:10283000910578F0F12C207F09F0C6C07C2DD98A53 +:10284000C88A89890E9424138BEA91E00E94B80FFC +:10285000B1C0822F807F09F046C0751314C03413B5 +:1028600012C0611110C02F7020612093B0010E942E +:1028700064138BEA91E00E94B80F8091B001D801F7 +:1028800015968C932EC0CD2819F15F3F11F44F3F60 +:10289000F9F0F62E61111CC08091790120917A0126 +:1028A00036E0821709F45AC090E0389FF001399F52 +:1028B000F00D1124E558FE4F7181571306C0708149 +:1028C000471303C07281711103C001968770E9CF6D +:1028D000F62E662309F418C18091B00181608093BF +:1028E000B001F12C11C1751308C0341306C0611179 +:1028F00004C08091B001D801C3C04D875E878D852B +:102900009E856A8B0E94FE126A898823E1F1662304 +:10291000D1F12091B001822F82958F7090E00297C3 +:1029200074F08091AB019091AC0198878F831986E8 +:10293000DB86CA862C87CE0107960E94B80F86E0F8 +:10294000F801ABEAB1E001900D928A95E1F70E949F +:1029500072130E946413FF24F394D6C04D875E87E0 +:102960008D859E850E942E18292F22952F7030E08C +:10297000223031050CF0BCC09F7009F0B6C0805EFB +:10298000883008F4C1C0C8010E94B80FE4CFC8164F +:10299000D90608F453C0F601E81BF90BCF01883CB7 +:1029A000910508F450C0F12C7C2DD98AC88A8989F8 +:1029B0000E942413EBEAF1E086E0DF011D928A9584 +:1029C000E9F70E946413A0C0751314C0341312C039 +:1029D000611110C08091B001F8018583C8010E9487 +:1029E000B80F86E0EBEAF1E0DF011D928A95E9F786 +:1029F000FE2C8AC04D875E878D859E856A8B0E94DE +:102A0000FE126A89882309F4BECF662309F4BBCF7E +:102A10002091B001822F82958F7090E002970CF484 +:102A20008ECF8091AB019091AC019A8389831B82F8 +:102A3000DD82CC822E83CE0101967FCF809590954A +:102A40008C0D9D1DACCFF62E662309F49CCF75131B +:102A50002EC034132CC02091B00120FD1FC0822F46 +:102A600082958F70D9F0D80115962C9315978F30D9 +:102A700049F08F5F982F9295907F822F8F70892BCE +:102A800015968C93C8010E94B80F86E0F801ABEA56 +:102A9000B1E001900D928A95E1F793CF86E0F801BD +:102AA000ABEAB1E001900D928A95E1F72DC04D8718 +:102AB0005E878D859E850E94FE12811140CF809198 +:102AC000B00181608093B001C8010E94B80F1CC0A2 +:102AD000662309F458CF4D875E878D859E850E94B9 +:102AE000FE12882309F44FCF2ACF811148CF0CC0A2 +:102AF000243031050CF047CF9F7009F440CFF80126 +:102B00009581907F09F03BCF8F2D62960FB6F89498 +:102B1000DEBF0FBECDBFDF91CF911F910F91FF9010 +:102B2000EF90DF90CF9008951F93CF93DF93CDB7B1 +:102B3000DEB72C970FB6F894DEBF0FBECDBF4F8324 +:102B4000588769877A878B879C87CE0107960E9472 +:102B5000CD13882369F08F8198852A853B85232BA7 +:102B6000F1F19F3F09F063C08F3F09F060C037C0AB +:102B700086E0FE013796DE01119601900D928A954E +:102B8000E1F76F817885EA85FB85309741F17F3FDA +:102B900011F46F3F21F120917A0130E0C9010196D3 +:102BA000877099274091790150E08417950709F4BF +:102BB00047C069837A83FD83EC8396E0929FD001BE +:102BC000939FB00D1124A558BE4FFE013196019080 +:102BD0000D929A95E1F780937A010E94431316E0D3 +:102BE0008091790190917A018917C1F1189FC001F4 +:102BF000112485589E4F0E94CD13882379F1E091CE +:102C000079011E9FF0011124E558FE4F408151814A +:102C100062817381848195810E943313809179014F +:102C200090E001968770992780937901D9CF4F81E1 +:102C3000588569857A858B859C850E943313CFCF13 +:102C40000E94FA1210927A0110927901EBEAF1E0F7 +:102C500086E0DF011D928A95E9F7C1CF2C960FB669 +:102C6000F894DEBF0FBECDBFDF91CF911F910895C5 +:102C7000EF92FF920F931F93CF93DF938C01892BD9 +:102C800009F46CC0F12CEE24E394E8012196F801DC +:102C90008491843740F4843008F051C0813081F051 +:102CA000823019F15BC0853709F444C0A8F19CE774 +:102CB000980F903708F052C08F770E945B0F41C089 +:102CC0000E5F1F4FFE01C49180E28C0F883048F4E4 +:102CD000C7708E2D01C0880FCA95EAF70E94E318CD +:102CE00014C08C2F0E94930E2DC00E5F1F4FFE014B +:102CF000C49180E28C0F883058F4C7708E2D01C0CB +:102D0000880FCA95EAF70E94E9180E940A191AC0AA +:102D10008C2F0E945B0F16C00E5F1F4FFE01C491E7 +:102D2000CC2381F08FE99FE00197F1F700C000000C +:102D3000C150F6CF0E5F1F4FFE01F49003C00E94FA +:102D4000930E8E018F2D882309F49FCFEFE9FFE0CA +:102D50003197F1F700C000008150F5CFDF91CF919E +:102D60001F910F91FF90EF90089508956093B50122 +:102D70007093B6018093B7019093B8010C94E6125A +:102D80000F931F930091B5011091B6012091B701E7 +:102D90003091B801DC01CB01802B912BA22BB32BFE +:102DA0008093B5019093B601A093B701B093B80199 +:102DB0001F910F910C94E6120F931F930091B50190 +:102DC0001091B6012091B7013091B801DC01CB011F +:102DD00080239123A223B3238093B5019093B6015E +:102DE000A093B701B093B8011F910F910C94E61214 +:102DF0000F931F930091B5011091B6012091B70177 +:102E00003091B801DC01CB0180279127A227B3279D +:102E10008093B5019093B601A093B701B093B80128 +:102E20001F910F910C94E6121092B1011092B20111 +:102E30001092B3011092B4010C94E61241E050E0FC +:102E400060E070E004C0440F551F661F771F8A952D +:102E5000D2F74093B1015093B2016093B3017093E4 +:102E6000B4010C94E61241E050E060E070E004C070 +:102E7000440F551F661F771F8A95D2F78091B101C5 +:102E80009091B201A091B301B091B401482B592B9C +:102E90006A2B7B2B4093B1015093B2016093B30135 +:102EA0007093B4010C94E61241E050E060E070E0F1 +:102EB00004C0440F551F661F771F8A95D2F74095AF +:102EC0005095609570958091B1019091B201A0915B +:102ED000B301B091B401482359236A237B23409363 +:102EE000B1015093B2016093B3017093B4010C949B +:102EF000E61241E050E060E070E004C0440F551F6E +:102F0000661F771F8A95D2F78091B1019091B20127 +:102F1000A091B301B091B401482759276A277B27B4 +:102F20004093B1015093B2016093B3017093B40127 +:102F30000C94E6120F931F930091B1011091B2010E +:102F40002091B3013091B401DC01CB01802B912B96 +:102F5000A22BB32B8093B1019093B201A093B30144 +:102F6000B093B4011F910F910C94E6120F931F932D +:102F70000091B1011091B2012091B3013091B401DF +:102F8000DC01CB0180239123A223B3238093B101E1 +:102F90009093B201A093B301B093B4011F910F912C +:102FA0000C94E6120F931F930091B1011091B2019E +:102FB0002091B3013091B401DC01CB01802791272E +:102FC000A227B3278093B1019093B201A093B301DC +:102FD000B093B4011F910F910C94E6120895CF9213 +:102FE000DF92EF92FF920F931F93CF93DF938C01A9 +:102FF000C090B501D090B601E090B701F090B80153 +:103000008091B1019091B201A091B301B091B4014E +:10301000C82AD92AEA2AFB2ACFE1D0E0D701C60183 +:103020000C2E04C0B695A795979587950A94D2F76C +:1030300080FF06C0B8018C2F0E942D05019721F456 +:10304000219760F780E001C08C2FDF91CF911F9115 +:103050000F91FF90EF90DF90CF900895CF93DF9383 +:10306000EC010E94EF17BE010E942D05DF91CF9168 +:103070000895CB010E942E18089590910C01992378 +:1030800021F090910D01911109C020910E01309114 +:103090000F01F90132969FEF40E01FC0982F9695DF +:1030A000969596959F3050F5E0910E01F0910F01A5 +:1030B000E90FF11D877021E030E0A90102C0440F43 +:1030C000551F8A95E2F7CA019181892B8183089562 +:1030D0009F3F39F04F5F4E3041F051915813F8CF78 +:1030E0000DC05111F7CF942FF5CF9F3F39F0F90163 +:1030F000E90FF11D97FDFA95828308950895909147 +:103100000C01992321F090910D01911109C020919A +:103110000E0130910F01F9013296205F3F4F1FC021 +:10312000982F9695969596959F30F0F4E0910E0124 +:10313000F0910F01E90FF11D877021E030E0A90146 +:1031400002C0440F551F8A95E2F7CA01809591810C +:103150008923818308953196E217F30729F090813E +:103160009813F9CF1082F7CF089581E090E0E091B5 +:103170000E01F0910F01E80FF91F108201968031C6 +:103180009105A9F708959091CC01892B8093CC01EA +:10319000089580959091CC0189238093CC01089566 +:1031A0001092CC0108959091CB01892B8093CB0193 +:1031B000089580959091CB0189238093CB01089548 +:1031C0001092CB0108959091CA01892B8093CA0176 +:1031D000089580959091CA0189238093CA0108952A +:1031E0001092CA0108958093B90108951092B9010F +:1031F000089580910E0190910F01FC0131969C0180 +:10320000205F3F4F80E0919191118F5FE217F307AC +:10321000D1F70895E0910E01F0910F018091CC015A +:103220008083E0910E01F0910F0190818091CB019C +:10323000892B8083E0910E01F0910F0190818091A4 +:10324000CA01892B80838091B901882361F0E091C4 +:103250000E01F0910F019081892B80830E94F91853 +:1032600081111092B90180910E0190910F010C947F +:10327000760D8091E601843021F11092CE0120E498 +:1032800088E190E00FB6F894A895809360000FBE97 +:103290002093600080E00E94630683B7817F846092 +:1032A00083BF83B7816083BF7894889583B78E7F0F +:1032B00083BF88E10FB6F89480936000109260009D +:1032C0000FBE089508950895CF930E9462190E9439 +:1032D00056030E946319C0E08C2F0E9444048111A0 +:1032E00004C0CF5FCE30C1F701C081E0CF91089517 +:1032F0000E94FA120E946A0D0C94630682E084BD5B +:1033000093E095BD9AEF97BD80936E0008952FB717 +:10331000F8948091CF019091D001A091D101B0910A +:10332000D2012FBF0895CF92DF92EF92FF920F93B9 +:103330001F932FB7F8944091CF015091D001609125 +:10334000D1017091D2012FBF6A017B01EE24FF24CD +:103350008C0120E030E0C016D106E206F30610F43E +:10336000415051099A01281B390BC9011F910F9136 +:10337000FF90EF90DF90CF9008951F920F920FB6BD +:103380000F9211248F939F93AF93BF938091CF019E +:103390009091D001A091D101B091D2010196A11DCF +:1033A000B11D8093CF019093D001A093D101B09330 +:1033B000D201BF91AF919F918F910F900FBE0F904F +:1033C0001F9018950E94670AF8942FEF87EA91E6FC +:1033D000215080409040E1F700C0000087E090EB72 +:1033E000DC018093EF019093F001A093F101B09381 +:1033F000F2019CE088E10FB6F894A89580936000F4 +:103400000FBE90936000FFCFEF92FF920F931F9338 +:10341000CF93DF93D82FC0E08C2F0E94440400E0AC +:1034200010E0E82EF12CC701002E02C0959587957B +:103430000A94E2F780FD06C00F5F1F4F06301105AA +:1034400091F709C0602F7C2F80E00E940B05D813F4 +:10345000F3CF81E004C0CF5FCE30F1F680E0DF91A2 +:10346000CF911F910F91FF90EF900895CF93C82FA8 +:103470008CE20E94041A882321F08C2FCF910C94A7 +:10348000041A80E0CF910895CF930E948B1B811185 +:1034900002C00E94711BC5E6C15049F00E9456034C +:1034A0008FE39CE90197F1F700C00000F5CF89E2B6 +:1034B0000E94361A811113C18AE20E94361A8111C4 +:1034C0000E94711B85E00E94361A81110E94E21948 +:1034D0000E94961B8093CD0187E00E94361A8823B4 +:1034E000A9F18BE10E94361A882351F08091CD0119 +:1034F00081FB222720F991E0922790FB81F90EC0F1 +:103500008EE00E94361A882361F08091CD0182FB03 +:10351000222720F991E0922790FB82F98093CD0138 +:1035200015C080E10E94361A9091CD01882341F0A8 +:1035300093FB222720F981E0822780FB93F904C0C6 +:10354000892F809580FB90F99093CD018091CD01DA +:103550000E949A1B0E94A81B8093E00180EE0E94AB +:10356000361A882341F08091E001982F909590FBC6 +:1035700080F98093E00189E30E94361A882359F08C +:103580008091E00181FB222720F991E0922790FBB6 +:1035900081F98093E00182EE0E94361A882359F067 +:1035A0008091E00182FB222720F991E0922790FB95 +:1035B00082F98093E00186EE0E94361A882359F042 +:1035C0008091E00183FB222720F991E0922790FB74 +:1035D00083F98093E00183EE0E94361A882359F024 +:1035E0008091E00184FB222720F991E0922790FB53 +:1035F00084F98093E00185E30E94361A882359F00C +:103600008091E00185FB222720F991E0922790FB31 +:1036100085F98093E00181E30E94361A882359F0EE +:103620008091E00186FB222720F991E0922790FB10 +:1036300086F98093E00181E10E94361A882359F0CF +:103640008091E00187FB222720F991E0922790FBEF +:1036500087F98093E0018091E0010E94AC1B80918A +:10366000E00187FB882780F980930D0187E20E94A3 +:10367000361AC82F8EE10E94361A8111C2608FE17E +:103680000E94361A8111C46080E20E94361A8111AC +:10369000C86081E20E94361A8111C06182E20E94F4 +:1036A000361A8111C06283E20E94361A8111C06409 +:1036B00084E20E94361A882311F0C06802C0CC232D +:1036C00029F08C2F0E94A31B6C2F03C00E949F1B0C +:1036D000682F70E080E090E0CF910C94B616CF9107 +:1036E00008956DEE7EEF80E090E00E94DD2160E0C5 +:1036F00082E090E00E94CF2160E083E090E00E94B1 +:10370000CF2160E084E090E00E94CF2160E085E07E +:1037100090E00C94CF2180E090E00E94C92121E04C +:103720008D3E9E4F09F020E0822F089582E090E0C8 +:103730000C94C121682F82E090E00C94CF2183E0AB +:1037400090E00C94C121682F83E090E00C94CF218D +:1037500084E090E00C94C121682F84E090E00C9408 +:10376000CF218091D50180FF0BC06091130185E0CE +:10377000689FB001112475956795759567952BC065 +:1037800081FF09C06091130185E0689FB001112499 +:103790007595679520C082FF07C06091130185E091 +:1037A000689FB001112417C09091D6019923D1F0E0 +:1037B00060911201961788F72091130185E0289FE8 +:1037C00090011124929FA001939F500D112470E04D +:1037D000CA010E9493216038710540F4611571059A +:1037E00039F002C065E070E0862F08958FE70895F4 +:1037F00081E008958091D50180FF08C0609111019A +:1038000070E0759567957595679521C081FF06C035 +:103810006091110170E07595679519C082FF04C031 +:103820006091110170E010C09091D6019923C1F010 +:10383000609110019617A0F780911101899FC00136 +:10384000112470E00E9493216038710528F46115FD +:10385000710521F0862F08958FE7089581E008957E +:1038600061E070E0F4CF803F21F40E94B11B8195AC +:1038700004C0813F29F40E94B11B8093D9010895AF +:10388000823F21F40E94B11B819504C0833F29F43B +:103890000E94B11B8093D8010895893F19F40E94BA +:1038A000FA1B05C08A3F31F40E94FA1B8195809370 +:1038B000DA0108958B3F21F40E94FA1B819504C020 +:1038C0008C3F29F40E94FA1B8093DB010895843F0A +:1038D00021F48091D701816017C0853F21F4809148 +:1038E000D701826011C0863F21F48091D7018460A6 +:1038F0000BC0873F21F48091D701886005C0883FC5 +:1039000031F48091D70180618093D70108958D3F74 +:1039100021F48091D50181600BC08E3F21F480910C +:10392000D501826005C08F3F29F48091D501846064 +:103930008093D5010895803F39F48091D90187FFA4 +:103940006CC01092D90169C0813F29F48091D901DE +:103950001816BCF362C09091D801823F29F497FFFA +:103960005CC01092D80159C0833F19F41916CCF3EA +:1039700054C0893F41F48091DA0118160CF04DC013 +:103980001092DA014AC08A3F29F48091DA0187FF58 +:1039900044C0F6CF8B3F39F48091DB0187FF3DC0F7 +:1039A0001092DB013AC08C3F29F48091DB0118169C +:1039B000BCF333C0843F21F48091D7018E7F17C0C0 +:1039C000853F21F48091D7018D7F11C0863F21F47E +:1039D0008091D7018B7F0BC0873F21F48091D70165 +:1039E000877F05C0883F31F48091D7018F7E809317 +:1039F000D70113C08D3F21F48091D5018E7F0BC07C +:103A00008E3F21F48091D5018D7F05C08F3F29F431 +:103A10008091D5018B7F8093D5018091D801811150 +:103A20000EC08091D90181110AC08091DA01811103 +:103A300006C08091DB01811102C01092D601089569 +:103A400087ED91E00E94810D0E9487199093D40127 +:103A50008093D30108951F93CF93DF938091D30177 +:103A60009091D4010E949319AC019091D6019923B1 +:103A700021F02091140130E006C0209115018AE068 +:103A8000289F900111244217530708F486C08091A3 +:103A9000D801C091D901D091DA011091DB018111D7 +:103AA00007C0C11176C0D11174C0111172C075C0A8 +:103AB0009F3F19F09F5F9093D601181624F40E943F +:103AC000B11B8093D8018091D80187FF05C00E9467 +:103AD000B11B81958093D8011C1624F40E94B11B60 +:103AE0008093D9018091D90187FF05C00E94B11B45 +:103AF00081958093D9016091D801662339F1C091F5 +:103B0000D901CC2319F1772767FD7095872F972F5F +:103B10000E94A42023E333E343E35FE30E940821F0 +:103B20000E9471206093D8016C2F772767FD7095F4 +:103B3000872F972F0E94A42023E333E343E35FE31F +:103B40000E9408210E9471206093D9011D1624F45F +:103B50000E94FA1B8093DA018091DA0187FF05C089 +:103B60000E94FA1B81958093DA01111624F40E94B9 +:103B7000FA1B8093DB018091DB0187FF05C00E9467 +:103B8000FA1B81958093DB01DF91CF911F910C94FB +:103B9000201D9F3F09F08ECF96CFDF91CF911F91CF +:103BA0000895E7EDF1E085E0DF011D928A95E9F7E0 +:103BB0001092D6011092D5010895833081F128F436 +:103BC000813059F08230D1F00895853009F449C030 +:103BD000B8F1863009F456C0089580911501262F5A +:103BE00030E0280F311D2F3F310524F4680F60931A +:103BF000150108958FEF80931501089580911401A8 +:103C0000262F30E0280F311D2F3F310524F4680F97 +:103C10006093140108958FEF8093140108958091AB +:103C20001301262F30E0280F311D2F3F310524F4DA +:103C3000680F6093130108958FEF80931301089527 +:103C400080911201262F30E0280F311D2F3F3105C2 +:103C500024F4680F6093120108958FEF809312018E +:103C6000089580911101262F30E0280F311D2F3F3C +:103C7000310524F4680F6093110108958FEF80934C +:103C80001101089580911001262F30E0280F311D79 +:103C90002F3F310524F4680F6093100108958FEFD2 +:103CA000809310010895833011F128F4813049F098 +:103CB000823091F00895853081F120F18630C1F194 +:103CC000089580911501681720F4861B80931501D3 +:103CD000089510921501089580911401681720F439 +:103CE000861B809314010895109214010895809109 +:103CF0001301681720F4861B809313010895109216 +:103D00001301089580911201681720F4861B809397 +:103D100012010895109212010895809111016817FF +:103D200020F4861B809311010895109211010895CB +:103D300080911001681720F4861B8093100108956C +:103D40001092100108950F931F938D3109F46AC0EA +:103D500008F03FC08E3009F484C0C0F4873009F405 +:103D60006DC068F4863009F0B5C08091CD01817FC7 +:103D70008E7F8093CD0181E08093DD01ACC08830DF +:103D800009F457C08B3009F46AC0A4C0863109F425 +:103D900066C078F4803109F477C0813109F09AC0A7 +:103DA0000E94FA1290910D0181E0892780930D0104 +:103DB00056C0893109F453C08B3109F08BC0809112 +:103DC000CD0181FB222720F991E0922790FB81F918 +:103DD00051C0853309F475C048F4873209F471C0C5 +:103DE00008F45EC0893209F46CC074C0833430F4C6 +:103DF0008A3308F053C0883391F16CC0833409F4DE +:103E000060C0883409F066C00E94FA120FEF13ED0B +:103E100020E3015010402040E1F700C000000E9464 +:103E2000E2191DC00E942B200E946A0D0E946306A9 +:103E300016C00E94961B0E94A81B11C09091CD0134 +:103E4000892F8095817080FB90F929F096609860A9 +:103E50009093CD0140C0997F977F9093CD0181E0F1 +:103E60003AC08091CD0182FB222720F991E0922770 +:103E700090FB82F98093CD01992389F38091CD0144 +:103E800081608093CD01EBCF8091CD0183FB222710 +:103E900020F991E0922790FB83F9ECCF895301C080 +:103EA0008D5141E050E060E070E08A019B0104C068 +:103EB000000F111F221F331F8A95D2F7C901B801C5 +:103EC00004C061E070E080E090E00E94B6160E94BD +:103ED000FA12C5CF80E01F910F91089580E00895F8 +:103EE00080E00895CF93C82F8091DD01813079F073 +:103EF00018F08230E9F187C0E0910E01F0910F01D6 +:103F00008081813169F0823209F07FC009C0E0917F +:103F10000E01F0910F018081813111F0823261F444 +:103F20008C2F0E946E1F811104C08C2F0E94A31E33 +:103F30001DC081E090E01AC08C2F0E94701F81117B +:103F400014C0C43179F048F4CB3079F0C03109F0B5 +:103F500060C082E08093DD0108C0C93219F0C83327 +:103F600021F057C01092DD0154C081E0817053C030 +:103F7000C93289F118F5C43171F1A8F4C73009F0DC +:103F800046C08AE08093150194E19093140193E078 +:103F9000909313018093120188E08093110188E2CD +:103FA0008093100134C0CE51C63088F5EC2FF0E07C +:103FB000E65DFE4F80818093DC0129C0CE34E9F0BC +:103FC00020F4CB3421F56AE013C0C13579F0C23555 +:103FD000F1F461E00DC08091DC01882319F01092AA +:103FE000DC0115C081E08093DD0115C061E006C0F1 +:103FF0008091DC010E94DD1D0AC06AE08091DC0135 +:104000000E94531E04C01092DD0180E004C081E0D4 +:1040100002C080E0ABCFCF910895E1E8F0E080816D +:10402000886080838081816080838FB7F89493E07B +:104030009093890090ED909388008FBF0895EFE6EC +:10404000F0E08081826080830895EFE6F0E0808177 +:104050008D7F80830895EFE6F0E0908182E08927EC +:10406000808308951F920F920FB60F9211242F9301 +:104070003F934F935F936F937F938F939F93AF93F0 +:10408000BF93EF93FF938091DE019091DF01019642 +:104090009093DF018093DE01811103C082E00E94D2 +:1040A0006306E091DF01E695E695F0E0EA5CFC4FFF +:1040B000E4918091DE018E1303C080E00E946306CC +:1040C000FF91EF91BF91AF919F918F917F916F91F0 +:1040D0005F914F913F912F910F900FBE0F901F90C6 +:1040E000189504D06894B1118DC0089570D088F0EF +:1040F0009F5790F0B92F9927B751A0F0D1F0660FD4 +:10410000771F881F991F1AF0BA95C9F712C0B130EE +:1041100081F077D0B1E0089574C0672F782F882799 +:10412000B85F39F0B93FCCF3869577956795B3952D +:10413000D9F73EF490958095709561957F4F8F4F9C +:104140009F4F0895E89409C097FB3EF490958095A1 +:10415000709561957F4F8F4F9F4F9923A9F0F92F4D +:1041600096E9BB279395F695879577956795B795CB +:10417000F111F8CFFAF4BB0F11F460FF1BC06F5FB1 +:104180007F4F8F4F9F4F16C0882311F096E911C0C3 +:10419000772321F09EE8872F762F05C0662371F0E4 +:1041A00096E8862F70E060E02AF09A95660F771FF8 +:1041B000881FDAF7880F9695879597F9089557FD28 +:1041C0009058440F551F59F05F3F71F04795880F85 +:1041D00097FB991F61F09F3F79F08795089512161C +:1041E00013061406551FF2CF4695F1DF08C01616C8 +:1041F00017061806991FF1CF869571056105089479 +:104200000895E894BB2766277727CB0197F908958F +:104210000BD078C069D028F06ED018F0952309F043 +:104220005AC05FC01124EECFCADFA0F3959FD1F32F +:10423000950F50E0551F629FF001729FBB27F00D54 +:10424000B11D639FAA27F00DB11DAA1F649F6627A9 +:10425000B00DA11D661F829F2227B00DA11D621FF8 +:10426000739FB00DA11D621F839FA00D611D221FB2 +:10427000749F3327A00D611D231F849F600D211D96 +:10428000822F762F6A2F11249F5750408AF0E1F039 +:1042900088234AF0EE0FFF1FBB1F661F771F881F82 +:1042A00091505040A9F79E3F510570F014C0AACF1D +:1042B0005F3FECF3983EDCF3869577956795B7956D +:1042C000F795E7959F5FC1F7FE2B880F911D969597 +:1042D000879597F9089597F99F6780E870E060E007 +:1042E00008959FEF80EC089500240A94161617068F +:1042F00018060906089500240A94121613061406D7 +:1043000005060895092E0394000C11F4882352F039 +:10431000BB0F40F4BF2B11F460FF04C06F5F7F4FF1 +:104320008F4F9F4F089597FB072E16F4009407D0E8 +:1043300077FD09D00E94AD2107FC05D03EF4909591 +:1043400081959F4F0895709561957F4F0895EE0F69 +:10435000FF1F0590F491E02D0994AA1BBB1B51E1AE +:1043600007C0AA1FBB1FA617B70710F0A61BB70BE5 +:10437000881F991F5A95A9F780959095BC01CD018A +:104380000895F999FECF92BD81BDF89A992780B51D +:104390000895A8E1B0E042E050E00C94E421262F1B +:1043A000F999FECF1FBA92BD81BD20BD0FB6F8941A +:1043B000FA9AF99A0FBE019608950E94CF21272FED +:1043C0000C94D021DC01CB01FC01F999FECF06C091 +:1043D000F2BDE1BDF89A319600B40D9241505040C3 +:0843E000B8F70895F894FFCF2F +:1043E80020026506F306D0069C0668060101BA019C +:1043F80028080A03140A3F4D3639F702AA02740244 +:104408003B02CE01E701000219020102030405067E +:044418000708090088 +:00000001FF diff --git a/keyboard/ergodox_ez/keymaps/romanzolotarev-norman-osx/romanzolotarev-norman-osx.png b/keyboard/ergodox_ez/keymaps/romanzolotarev-norman-osx/romanzolotarev-norman-osx.png new file mode 100644 index 0000000000000000000000000000000000000000..4b9433be28c1ea9ee0647d1f181a2a8641081ee6 GIT binary patch literal 96954 zcma&O1yq#p(?7h33Mwsvv>+=8C`dO7io&9#bV@hUjY_P7G%QGpbayvPcO&3}ln6+( zbiCJs-(Nic=Q-y+$8+@T-uGOSpP6fB)=zS;p5tGqybggt@TFcnRe(Tn4ImI~1>8&E zleCXC<`68`_fk)vC^=*OL6tkO$df)E(3ubE47g_?y&v?MtbLx@sA7e20XQw1@B`a%pch?W8ck$sdGjhhp+B!cgt13@c zR<@oPX4?yg!yi4l_?m!_(5^SJEo{>{PQm|U5gQ*LUo~oNd3pKA56z1MHj=x0dUEsf z9IH`1Jv~KicNtQ-X@kZ5p~(ZxRyq0k<&&!zK_C!ahLXuuG#Xv;h%#_02FIrMI#vg2r`O4E z;-7!ewE+^$AS3gk@zhd>dQ2b#VlK{|cJnTUJ)J zYEf-14RKdj*E8&kZ`jz`pEm==Xt7D%f7U!atTb@(IYd!WkvLSLDggR6dBp72#hH+P zv(nktVpRq1tLIO>Q{B3d@ebnRt&h-)zu!n*y>T=m-6(ySgnWcHefnqy9?6k6CvDKbW)ro0bYjCV6Guw?OpXV9KAORE-9{F?-8T1@ zH#W7^g!^!70VYq{wNPY1B>(gdG0Z2Nl32w@8R$bdP(2y4x2bxGBe73WnoiU``c?XZ z)YGTLO3k;DMw|e(2Fg-NEMWvh>2;*SGTJqJB&!B;{_QjSe-8Uuc=`9?bicV5#b~*+ zC#-qo^o_-3)qkkd!rra9y11BnQIyp*7!|AKDtA?r;e6Idkehft;=Pmuv{oRQD-Q{V zK6VOhn~PA8CtZ-5TrCT4;Z9Cz4ebhNDEavCQcm@afAg|)bP_Q*&NAvagmygv?z1wM zkBawCZo!(1wv-Uj7{6PETd?*JFmFCgd1XWOQb<#!Yt^{LgjJQ>ZA*zj@5J2nr2qP! zGRi%4@!-H^k7KqV$~}IOe)&EG@{Y$W@RBnd*6@&_{+=!(P^>-ym{c`+l=)p72?VVq z0^Id4=aA)0R}j_b+640cA4bcQlO0jq zMZYEF!a8R_J$WsO=Q^M{x5*#dqoB`C>2a`?%IsgJO2pug%lPJ#jl$ho|Ng1$gsgP6 zy?&8yoO1^-3#@{llm4~sBE5u!6Amqv2!Hy>{K3D1p=2*Bec5zW#Qy&|BP&Zmx>nn| zR6UaX&iRuc*R+6SF6TMsU~X~ z0cRX#w&`jk(G{jmkMRG-EIVOU9?y1}V&P7ZW&&x_GkfB%t}!~Y+v`bgsyZOh&z0!f zmLm_0eBl(q4P`fT##R7&=+t|zt|?JJAh_LT5!*PPMLO{8-Lk2tNKZX{O%DY3sEM%} z#s=4a>=#~sF{An5y9KW$(|3{2WfaYgeWM?~Cj1&3I(GX_J1TJDdAag?{ghXNpwACcNyJpSkUJO%n(A-M3lQsB>DvoTL*Dbr-6b4KWCVPmW*)gkA1Zk1uh z5ote+kEtdjBJd1N0zb5oJQjJKYmkxP_g~I4@UMtpzR3ybkVAed%Gyi5LY%XMr7LBQss#ho}T=Ls(c+8wmglXEyoVJaNC} zC4llhMwtMg>)a0%NS_^9-+4+({Gyp;CPfkx`MGg;hTk7S_J@b4trC-N=}L9<>t6$L zE{w=fR-u$*fc(#TBI8^`tI5g`tpq2~%l15{0-(!;x`d|5@e5!%;$?CwMg+-STYKT)e^y4T^n9p zMklZJvfwPk_YzGckUMNxci0ZR#LW#Wr)&hhcy!+S%z2`C->SAdR8&_}tw;2{4kQ#` z_IK)^inRDc1iuG?SX^^o9uSysq*r|0c<;Wv2&G@~>md_v0du9x1ax$O<~iA<>AvWiLx>KK4F&~uJ) z;4)ZOVp2X=IVEug236tkGqPbhE8)T*?+ScIrR|xD(8D5NI?hioY;z5H zZEY|14dI|?@mIH?=|Z7{Z{G9&_>okJ$zF-B_5?0A?WA(iQdtv~x|BUve4r5U=dmh~ zyS$g&nvNol*X(FvOM86E+VODa4crw~V56Ghus`Lt-cg@V^Kwq@WN9c}$9=PgdQ{01^0ZKOd2;SBF3sP8Ed z)!$Q=P3Eib%^hlJqF01Kh!7Btdjkn|j?0ZNT`!BPhEec0)9ZsnIj-~zklv=Al^Y(x zF*rnz=t(_R-D>B!f_Vd?Pm&wy>f4*Ll5*Vr>nEas@rKPuiB?9y>h-U7%%c1TRC)4U z4nUa;iT&JvuvH6if6xTsm5>KWjxmid2gXifoG9i#{s3 z*uk$*hnwW$$v<3gfpbW+76uK&JX_;EsEr^5Z`-3m-1tE430kn={M^;y&aIz=V~r~9 z=_YA<7tPWX8ui|%LwbAP`f>TOEb_4(tW~dz9{x_Y9K$dASQLRFUXOhAX;fM%sMW62 zx7J?foazfzpdt*_XO{rg_#u}!-)qnsIQ81C#%ra=UGuUP8I=5Ne@Gj~1$u2hs4x8R zg^C?-Ag51v%lBc&^Wn0m`)y*Ub985N^&@r!?Qc55I+{ARS{x#-L>i`c#tIq8usE6< zj%fA?nU)7vYjY47cTDY!d^Mfpumf5H0SN*<$&MMq->io^dPMMv#CwsuCc&qC+3VBV zspty-H(dfXcwGD(Uiv*Hfun-?#Ixh{l>xEgeZwb)YMEQV2q?n+ zQR*YurK~ThpP-ubc7GDVfk$JaX;F?89G5*6yx6mo{Q6-Ih=iSDy9SC^S#ch!3{E)V zI{mTMbqDhu;cry0s!6NKsVS>zX4O<8-Gijk498;FtF^s2_^X9JG_26_tppiTmZTpJ zAFsS#H6=~pv%rQErY`SZZKT0ItFR~_up`7cCUAI08bKUtO1}fDM>f%q`Mt9>;tLpK zE(ht-r0J`c4cP;I^z9whO|O*cjM7YY?~#UhIuh_Br6P+7G0rk~f@+`jkLqeUs#}%L z*lH!P8zEC2N!By-z7^#9`bj|kv!3c#mI~HdlMP9tlIW+UW4biIXsAgr<*}ZWAP7cM z?P$(NsdRh}?~#f3>0TiMVu(?D&?V z@)Gfy%;~v!B%fn+c-dnUOY1C6ID^S1UC?(rM)>=$dNXp^NSdkMYZwst#{ohVabc8~ z;7+PNTq82vqH6T5+6Aj)(UOX3y~Fyh%Dv-zoW_g%$V}|lz5Hx0>$Q9HV}-k2fhymJ z(q-%SX9KM3Ps$>Q?<{nYw2L>dSgdMzv#q(Et3tEnil1HS{)dyg!ujAp>WRmwufu&fieY&~17(;br)l(=5&WvfGz`Yp8}^O3PqV3$}T^ zE7<(w*cY~*iD&ncK;U&tXvmrBFuH#D*B*@`n`d1Xu_HEtP z6MKE1E{E^9#TGfWW56LzBGQf+@w@r0j^}})C#g3Z{1r3#SQJaT(2uaP$Ii>oJ-?Sr zcRez%6>xg?18J}HU@Yh$1gBmW`v%-pRcx9?G$8O9v7Xe`pCJVR=x|}bsf#Yd{kW7R z2eN~_k*P+KtDP~X!^V_aA6_J^+^%vo6*!vPiG^(~hZNiKr*u;IK)Jmpudm)G=)p!K zh{aYJOBQ5x-l{ZTl3Y-&ol7!}+?gCQakuy>H}u*W84sm+_b>EmzfB$Usd~F@nc82% z?RMs)!ManQmZ$k9l>_dyQl)l<(Y!MudlJmUH8P;4j{I4Wv!>yy#)Zz8SkmB+h(>Q< z^;wX6wxa~pqkA)L9r`L2MJpKCIv{@|@mjnD;%C2Ayt!z&H+=s2isPsmf%O;3b-fCy z2Zv+Qyq8xvxNLBJpbZ+=2pZsobjYQk=1O)vb8V(@UM0b^#Yg*kHn-bc zZ#ISDHPGvU%QX?AU%=oBK~Ha_t=2?)%Zx|onoVBNm!YJ)2-(vo8LyJdmk9=i8FhNZ zc93E8QDPu%y)U@z%-TUfohJO#qw{5T{hf&TiZ=pt4Y{itY0VsX#hSTCcMZvhhi|y3 z!f&A^kA8Qbnw}6NELbt66$J9j5Fn^oh^Nk}1FBhXLLU~P&-2l_`+NG^X8 zHg4fxU@)9#Vu5T?3zccSkaFBxVr7G6T+1Qr9)VZVMBT^I-?VzuZ)hI2IHrH9ZVx2+ zZ!KPdM}~4f4iq}#*Ys5rQ4@8LEH*jtqEoiXkW-=EpbgZayuY`}-A5~CH+w<^e~fX1 z`PoQ%yV~ zNji{Z>0?$B$z29~+!qb+nEIO@W8?Fh^nZKOf5)W1Kcv6EU}kA`+rrxJUOeL$6l(nC z_6hFyG?>>tuElviyWajjf18&YOcGbUAdt?mb!7XK2r5DtUTs2_OC(;Dye(>Jnpc1z zDt$S%cv~_XwSvY$RXdi8QSVmAPsZr4e840BQO;DGKzVDjUy0r)E!J^CGQmI|as05I zC<6LZN!+gyb!)-F?(nNaUMPLrOkcfIj@sz0Ylfc){kXodslA#XdL>*}U$TFy&GuC7 z5@i2L#j;0=+T%LM^-3+DvEM82jd#cuZ-|WPL&@4^WS{%ZrW7~-F%>SwQ%Qc|*LYV# z4)IP=%BEfJx3A>hfO*cD-2KAAHCb^#^;)Lsgl+g(l3GM(n5R@o5?`+UT@Q;y$z+%O z&Hcg{l`&rAs=>WQ81MZEm6?|h^ca6}k{mjIEHbzXY4F!X8zjjJ9T^GExvJcYA1+7! z$l~;XkNakN^vFi1Zch!%<_CP%T`bPBJ+MzC?@qb&#Lp~=M{_vp^AWabX>D3=sNTkf z0!WBBOL}7xWCQqEpGD$%QF2DqPkXsIm<1^cn4RPod&Y9zv(mkqD+M_%^E+a9Uj zOMd?f`RFpmRd`YJ*-B6DU(N_9$-`8({N`^da(uw2q`01|D}-K& zm7M!^c$lSK&tH6_>d+J3-c}^(hjjLf38N02{n+m-+cir5 z$dIJBf~DP7*|y?-@98BXnP8r`YahACYG^;8!!!IuCV%{qF{hl}ugTvFqlC!H1B@wiYryVAmhU^j)T?lV5E#NOadwqm@NGB^Bc(;L5Mxw``*`yav&Io##5>%(BN)yT6uKtUOIKo*SIS1r$|uVM4}Ig$)_fE1!!nRq~3PTUA|kZKYK*d+AT@5MvVcB==uR+2ri`{&wmG z1h+j7G`wN{5nq%b?Q*Orw&DcsI!E^U9!BK5PIju#I6jokX9hO|Ix~vAjor!oFK)4)>xAnCBFW~7 z9diY!-Gb_9sUpAWk`=rgap{l_0*Bsdm+R3HWmi9YS)Mx{`8g+iZO(4x|)7iojazn<}i7Y;t}aJJwoDof5+&@Xap z{CaRub!6BGvH1RQ>9ejeS6^FSqosGBMFbvn0^N@`wH!O`Z=O$IsB%l-spDzqura{x zB(Y(+2B9zuU;XM)=l3%9f;UyOofD4`92G&sj%m)!IRS;tx!T-~;pNrwAHvU%9(9sH z8mL)qMq+=^Z7{@tWHQz|8ic#OdD#_KcV?6mW8r$aAQ5?m@ zp*BhMFsJ=%+}A@l76N*!ZSCdQxrZ&(VykzK*aF)V$jg}&8=K39p zw}U4^Q1thoBBTV*8Hfw%jgALf^^67)CZVq%02VAZ)>vSJn+03i{Gu)ar8H_QOfbrA z$B`keY&t?~Zpnh~OQN)#j1r~&8jhu5)ioIKw+XrOLGe?6uIu8`mm-6fPc)c`%ZykoOa39(dW+WVyWp(SlUT3nV zl}B*g*nbDq*f$}oXfDc^o$j*Tz`-_I`KL09pUR1va|bH|>iG_ww}Z{9V{fJs|K8m! z?H}=w3d!uUV-5??zp-6=eiMW9gmMTUeZQKOqqyvZodh(1Ejqc(RMt)sBP==!im;@& zYZK`P_U6}GUS>;a+^nrx2Bp#oHb|{B?B6O?-lhJfoXkeCVelz2Kd|{%dVU#i1O@M& z3}WUI#Qj|nW07UIi`i2a*yv72nD|MfteP#7QxoWkgOd?yyCUV8HZP+hoYC_B82EL> zIdQUYZ1+)e2zN$<-*kWnWz#7KA*c9Wt#T)oLM205`Or}oYF-ON(+H^0^YI_q5=qY2 zSJQ379cGZ(>OhOkF!5No@s71M`IfHH(?a`z2G>B{(!rjsE*pwh8fMBdf_GJcc-H`F zn^k2_3(}}6>-B?P1_^g25L|-4I!J;ffDJk0>dj5c&F*U<)i_jEVlE zPjyDRTh+*$;AWQtSJvCWfqZ5ALeHSJH>h1-VQ4fxrEBFoYH@jmR*|QxiZfiDs+~_! z=(FyZD?p$Zer7WYhh%H85zD;TKPhcG^{E@h? z`3OFDz{YmMM?tOjIyA`(y#8(QV75x@?Rd|NdL<)5gZnTtd-}?qHD%S%r{Ag~V_!VI z%lc>^8zP73E-JK(b_;)0?mGR`X6g5v% zuFS|9@s_`f{Gnv@4d8K3!)w{94I1@Im!h`9_K6@}!kD{z5DR;q`)c`f>`7$3d6H|y z>XVNsFbYuxQkME9o+7P;d#6!8a{w+_mmiCg*VG8UhN*dgblLv4;v0B~=g8>S+DrXl zuRo*LSK{=z6Jpq!XqDal|1bs>71urDboAvU{9C^a`u26ZNYO1*t%!WMmBzJ0=@Hnb zBYawDM}NEVR1g@~;C6$GO}u|*`>*v)(F&!GjdZz}T}9p2#%hS9Yn>#WB#AyFj;LpY z?B<=;AB%j7eC9SlXLGUZY&Y@jAhC18`9NRibUsQfZq6oGwCmTGA5qB4yUn66}L+a5yRwqx?#MNdK)qfvq9*a0fKmn%0 z&rbL1bxzB@PF8gOi=$Q1t_i7HR3hIj#gvLPjzPPA3+5h12M_gL<6bi+Y)FV1In!UC z(o0qy<6RH7feeoJ(lc;OAij&<8Gm7=-8U1f-?tg7R&sAm;3-XQ(?Iqp6`K0(JnnOv znafPCY(8M)l3@|p^kWeSHeewNe4uOtBoA_V%9U3GvukClWd=&B5BF5eJKmHMJBT=m zIH3Aoqlc3@@g0(VpYknh=J5u5iPT<>5@Dl|@n>UmiNAZV<3N%^@7lJCIc*w?srB5G zB~E%aVnhaVXH{5l;@9FLIXOA(3Y6dh0S5t>$K7B-YNTDIrbqt|=dwxHp(*RL*4WXt z?A$=3TIHmf$Cw$wPFI{nX@=7juOD39F*hBqC$VzLzSBC7NivKMf(YWmNXq`;)IC!3fey$3OT@r z;9WZ5Tzo7+DbB~jHa=}-KnUBi-Z2pMDPR=)9}nKXc<|f4!*9*JRY%W`=JO3j(an%p>fOmSg>wr)i{WcEd_U%K%-uHgo zPd8WkD{E>te=)Khpe2g8&^ala!swph9u|BYNdfjlL%nN0&}SoZG~zUZQKrlQ2=K7} z{4n2|p1_cE0#bT;d$+`NiwpY`Xt`9T&#`S4|EN2|aH; z6kR)l9%i!SDhZnv1NgtQ4xaLm%^x-Tq-c<|Zlw z_^Q`LPX*Dm>4PDg0wu(Xi&`E6-PIH}dxvfOft)I*hzO0>WK)y4sRhQ@g0TC(&5UHL zY=2kW<-Xjl1z!$nF_!fOgA$<35E@j5Rmr>Gk`BpTD{78juI0)OFFOc*pcS}HVNuVS zZf>rrJEZW$#m5KMTN)Xqaq~7ew$it~Hy+qHM6Ya4i=8aVI@vrCFL~PV!y$t}jdfQE zOqlxF?FhyP0+%HNK4D@*Yy5}UshNUp#a@oKUZY4i`tP=4=&gM*fq7KJCD zBm%HMBZVv9GkE(stxpA>oo)tu)p*|^`3Al8D__FFMAZHz@r4z<0m}afKsE2mL(HfaL9P&o6fUK=LH2k-)07J2>YwKC*?Q z!1~j%@S{g>*Jwm=KZ$3Aus-eL(DxQ`I2X$Y8s}|AS|ty}vj1L@20D(4*YJxQ5~k|C z&PH=JIub#7#%DeCd9THT;))L`{fl;zSw~|-`5T3`fp47n1xwcWv_CVVg95|;Ys4U~t{O2*$qJYT1 zA3;(Vq=WUb&F$vq=J|O>!^4{-z8EvKf>6!7-%SUme@z1YL>HYNk~YOAv?FkjV1BN4$<`lH+v&RjQo6keFwi2;ZVV;aT3mA z#4!*{dQC;7S8s!oto~eOBS|9ytZ^8Y6`1k!wQFPA$O^8|>(<J|AvxfeEe)@O?QYljo$E!3&&;9sy74CQg#cXY ztn=l{Z~LAleKx%sP6>~jo;7|-V`MfqqPJ##9UmZt9G)hcAKqx5aW1_>vhre%4H+HA zsJ??6aFLRgB(1#&7iagc4$+xfPO@tMKVGxh$oJkBP=7_~IrtB_AmBPo>$X@i8&}iA?wI_11=8qL;_LW$SA)ZX7!=nef+Mu|Il~ z3E*<@g84_oAeis4%}{^6feFPCJ$e|^LHKw3tzSK{g&vDh z^;q=Ja7l`M_&-SlS28N2$Q4uqbm&3Ku_1^<67^rf1Xi-IU`f+8jMT4&-S*a?LJqrF zfDywoikAO+yng^Z{`@P|e?0E|Xl!tBaCNfW`bWjv)rr!1A>8}oAtBJ_YoEG#@2bO> zi4ek=$z|_*yW5mi5R606kP%$CSQ8m3UD;!r>o7JKfD2%$#fG4X1Ux~y+<%?P2g(uY z{F9WH|24d9rf41u_$n@}LEyU|3@Gql3}_o(&F55vYj0R}VE@(e#s?=1*ig&CmHFw} z9%ZDFFfIRus7C?<<7eeW7jb1&R%ro%eeu_?k9P6^=4+2B2MahUArO8zy$}xN@+A=D z12FixW;%9u?5Vp!;#nGecRT2I#O)ZiX9%wd)7wm4gM$jkHVd7RJNaL|@>fnrC9uZ!xaum7TollR?nhb&b4CBWE1wzX@hPb?g32@eQdD^4`14l>RAY+h) z>`fozJ;#&Adx>D^66@stOl}7ivaNEP75CBXcok2tix4!B9hv#Ma-O5?gehJk0!Y63 z+SBWL;+v{c5JMn&u2GEw{RR74?=YT5klU*^!`*rJCL%?=lE2_0Cz1F){YWPfqNIvo z6r-3vPL@HQ$Dr+0$K$x;Ptnhyp=&<`9@0OBUG7$Z|H%}jwx==bC&C^J@rxwJg8acoovgBpRyX+}LfVFG}m@^zh3vHc@vjVawFr~Jn3C&1UlR8xVl=q+mL&3jAsr;~SJeK37Z@x|qBnmAj(+DC zNxHX&f;slDVT*qw$_itE`*Bn2VN8j%CE%upKYYvtp8Md3L1hLu3d)>Y0J%CeG@=j) ztLZwAxz<4Qu{`MXlNZDveAwt;ot1|Uab)}X0&mOWBL%5b>)k7VP>}Z*RA5deMigA( zPDv_g4#UC60x{Q%)kG3cif@X05t9sTdN)j7NKD#{%Xs@iAd@z42@3F|00HjH`1I#h zASXnXm2$BE##C+E$c?FhOAzNqp~c6@x4$phD{!aKo8V%!CU#Ztn)z#OD`8DFfxRJ< zVLByNLrOAHwl9C7?j94<(HR*Kmjx6nEZ1DPQ)a*hyUhp{!tSfyBfyc8VI}d25kmH^ z`k-sAa&A9>dP;(udL3Ysd~@d+o;hb-rQ{bsiziq)uT#el&`~;EURCjgZbGsuX16HG zIN8+H)&1%6G%G>L=)r`Ii!t?sluXfq&8<;Al#1D84 z-)!nONVjm}(f%01I{rlHBGT_(f;7Bd=L9wR@+I)bxIoa_mWJ5#d@7)W6oTTM-bPH= z+p5~09HRG~ntw&Ngx`LY^QdCX`$w{jM42u%GHiaxG+)U?HBPX`ZO^JmaW@{X8zfsx z53h&ZZ{NL*RvX40Ai>%;e}U}$2m#_$8AQNL-qAqjNsMlZbIc+?8wb#w`b^7yUt?(by9|Ivt^6W}Ov>-_)402>2 zQ2iTB87Z%yEn* zHD4i*Cr0RqPIhw0+c@&=V83^tRK6$|7nhh=eMN;T3-enOj1*FB37etc&vBOl0?|Z2 z^aj=st%B%vY_28a;ahmPtt-hM>g95hQBIIjVx%EzB4N+jmKJeNo#KZ>bB%KCX#;cl z(zn7;Mh-IEJJPk^Z__W0!1N^s3)|lBS&y0S*K+54#{E}x7u+C1l*CZPeqTN58>bo! z?U$o5Qv1i)jM2b5z51J*pFXk`P}9US#52KL*`qY1EV?pBhPceiKD9d!ZOhM^OG*-y zv9i!qRb>vue_N0_NrjUK@crEC*8IQ_Kmw_Pn(>!BDMuP;`7vBgJK`l{Q(ocIBB2wYfE-N*H9$J*|MUjP$Q^bENn zkl&YpTM-cINuf929+pCL+miE=1WQ1H#U&)^(yk}fgei+XrX?wx@3hKME*w(^xx_NE zT{BzB-9bX13uXUVYDl8Tls*@AHRW}fnTRZcdR3Gq4Dg+8r_5ih8v&_G0cxyqlHNcehdJR>^IwOuZs`Ev!g%EF z2Pfx~Q1Ti=Iz6e~F@(Ftb=cKQ_~+F*xNpK|B_#BujIE0m@|5Z&bL*;3?bm+r=EIJ4y&aFj4vH3iCeZU6Bo?Bw2YYr0nY_Fj}{=MdhO-|&pJ&@ zchk$1XlW_ct<}WZo+`m(*2qICZ?*i-M&!DfB9`p)76&pcH8ph~N2}7-(ABl-Hp^W< z&a2#hJXgn<7soc9mq`V#I+>~rPdUpicS#=E9Uip_WiK9|uuttBpRDlceJYq|P;#oC zUfZg6dr78KEh-2Wd@+#!-fu*CPvh9V^o!@?vmw59BQ`bx&jC63(ys7xEqombj2Cdd ziGWienqf*|Cn*1@mW~DBRG!yA+gjm!ML%HXOK|XdDfaECVmSD4Dl>9rPQ?Qy?y~aJ zZbHN2p#D`YTKJy>iV~2)N*>D5sHdQ;- zs(r|fyHR~_7Y=G!`VGS!|kImL#ldvic_*8Sl;6M#~2-zn~>?ZXxZ1gkyq8u^X&~s*x2pI~EfVBdD?zdqEf{lrs znfi4uXAfK6qf?}c^_MaI-U7aD(El&>f;LuvK{?e4 z$48nZUucvJaA0okPHbG*b=}j3gh89+c+bo8C(CN%Z4+zo;hTg#=+TaB9)dC|<8lA_ zM^oKoFI5#>?4u8J=R;}!7s^GuEV(nTSUjYNXhKty2w!kB>F2;M4dh$Gq12Asd@Nzf zhmLOVHLD!7h(GR}*`7WVFFg=MX3P0N@B7|-9vk#E4)n0zi%a|>JiokwEAlx6x4Z{6 z-8@bj3FT;l{UQ5M8@Vf;$dZT6{E7O)XC`@0F(fOSOM%^fWEruw&75iUjZc`h^CEr3szx`GCN1qYb((# z=mqw^HKlmK6WwL}FMoR)Nxq(xyc+lM#kgyWpQ>_x>nCaoI|jWIq|u6gV!5Hkn%C)x z9aA>@bUKY{;+Qq{Y7U~6kL^?6;;Y4>b6U<01Hls9x1*X7We*LhoJ!XlYOHSy1qA^)y3yWoy4WEvebs z?#Q=SFSS<J-Lq`TolOLau5bGiD%JU@!&?)@d&dX#2vWZjLXFhs%p-mlXk*Q<6qrot=7 zulmRX{mnb~o`NXHGzKG$ChS*p$AEbcUMV?onM5ZAo>cINVydSXK%JPL8~?kLAlgJm zI`-*A^x_j2)>4tNQ=^lvL~Ad9#YzEC9Bo<~@i zEW2`6-u?5&`co#JpJUY5VLs65fX)D9UuNzN+Q=dWm;$2Y6hL0b3vmft*cLYEw2e86 z#+BwOqZi~moAbw9`FJc7(dzpPTVD0NQ3Q`M$M%sF?l|1noiPM!48J9IOS($KwAq^X^pTbR0*M|oHZ6rGM54!=1W zSR{BX>fGqY4Lcx47`&98tvurVTW{<^K_A$r0K?+%$ACp}2bzKK22Y&y;10*+-n6sX zu}ii0SI-W24Ly=h-Fq9pUt^ge;lRIdbYG|`%tERI-sAe^FPt5bfcl7E6%(%WU+I0I z2k$d|{33UPG&-izR@@Tnjyq<$xOj)n);xs|BI8H)!vlALPwgdUA-jBmSYh<{$5qdp z_Mm3LaC8la6)^XiksC8H00CaGsI|69Emf&BxssqdLLRuKwnks-GPap*n;GLdYT#9z zN5D>q$uE8>^Czx>e&-E3PHG=$Qy6NU7?E=W<`)d`)*F6)Z{w6RQPp-yn0jJvX;^Hx z=BFMxf*j^FFW%%gJQTBhn2qf6156Dv-FF$w89X0n+&C!$uSb%@?>kMH8V(y;E=o)c zc>@2UfL>g)u;2MDz;QA&=5)^_%p`Jq@EmuyXHJj8%d4HH*|L!^jHH0*Qk_=z zIaOSk-zPv7RI;hU%Zs@(4-75K!;4DceO=2OVgN-ylL7HTTM_Fqa%;D7u|DPQC;I&r zUQIVDLTR0(LK?@i#jcL@A^Cv8C#Iy-swZJaA4CW|Fqp#cVinutj&uu#Erwd) z$9s;Y33d|#<0oG|k2(WLe?j31@4{7u>bl*x>%d{A*3-9dIXFuZ0b`D4>qtopu10cR zVA4D%W-z2*KbEtW^ZJOT(zXBSWK~j)29&~0Ve;M=YK54n=7|a2J>d65joliaL~(6J z2F3{1$l$_+)krMo)U54w9-NPdaA6N`fNYevR{XNk4nv&qE zUI(z@xtC-7$h#_(N%Xl;u(Zec_oE1RuA%Dv;Ta+flT+5biL{AmB31J_EDWCw{R3cx zW%7N|s%C9ql3XKLg%Z86;Hq!vpue(sf*g8j(#)cP=ETSG2E|w+GLe;=8iH{#K90zU zY}TG0RBie5_#w%x$phztvtKPn#o7;sIC_4aE-I?mYGy_pDri`z)+gqe17mt)+*UBN zc(wTXMJAyj0}>%tWQE9elB}#pOr1fm*kqp6tbvQ{@(>>oR#r*WVP+qn)=o{b zQv_eI&-sit(eII^oVb8ck&% zDC)!*9hr{8t6m+rD24Fwfs?SJbK@Nse@NDynB{8A!>4H|m6a2mERj6^n54ta5veq$ z?Q!xAT{*d0PV;i_r%R;YazO>i_JiYIh}(OSeB6PNxM>Id3diOYC|EzO_7p9t(vT5@`Xy+Ow@b(44x6a83b^*4V#=8{7)A*%K*#1G#(-F9VOz+P zX%Xqc3H8=Q5=;At%D;hJ@@z{_(;JcD+x6DfIZJ z{O1|=a~#Ma)am03kv9#RuxEYigRga|@KzdqdX8M_y;^FQLLHd6cvxCEj9ELpGo9FC0>wHh{QKlZqLel090bF(GXNgw%d zx?h#EPgcB6@?plCgl98i$MyB{`C~7kl>&2yEl1=sLRmdiJtso>=4tv4s!st(3A{8! zZwz1cYnv9v%DTAbF|ZxjY_(RP@_nJft-NgVaKJHslnO0RZ1Cvl>`gIl6$C5yQ!r^x z?wdYcojwgd+Z1D9Cr03TM$nbGmJPZ(ZH|$SIR?9FI~KiW$7pE)Xo*omojNf&{`vVd z(+B!Pr5BYXw91rP%siIp(8m4unC#rCC>*Cf+TZ}-47e}`0;w&KhjgD;9`e`XjJ?f1 zc+j!i8k-P!#77(bSN$o3D{geM3s80y)_>8itJ|_nw!ex_*lYCw)v0L)K^qq3JrF~) zMhkcW&Mm_r(d%`5arsohc>8O{@9XfYgT=3kXqLnbrqu!WO2~M@z_a#B-B9Vg;zl*(@_t5Tk?#$4x<2n0AuOyuy&p7KhK7bV%O9|@MYQ_yf9Id)w{hS%0+rtL zHM8orbjtnU*e=7}5~tWA*;r7viejphIHY&8V*C-fv!^CmmF)kPbbI@dJwGQe_cXHf zd7!$X437^0Op?BsW3RL6GbT0)3)R66Qd{RDp9j(ZbLbl^K~tn08M%nEt}uOj(6O1> zQ!x?yXDL(TX|N+L<|l9<{Il63e+BTN^4l0ubLCWlEcn~W7me#d09y1Ld|umpX9pjS zQPU@=FH)H6iJsI0C)KbVLRVv{&=n2`A=&7%|1lKCY-oV>1rDLE*!b`(L5^Cgwhu7@ zxc53Q*Gd?LGN+@i6BBlKa^A42N4Zv>7#LOx)=_4Gu^Q$z;Arg5vP{54jegL2PZQ7W z8&lPp)qt%bMPPJpc@UA0lxS}g_$K57}!|mV=~_>X&)#y^}<5> zlFFjPZbHOE8BD*yOmM&CHG6QV-=F)#N#GRU{p z6u~CLLD2Eu+39rnxtQ{K<<<3?226Pqy#wjq@kR&pgbDV8Lya@rTdJo2aQEJJZoDJ% z+mX?~MHTofs-9HwLI;TV;9paY zji1`q{x0JKZN7JZen(ZZQw|b=r5a&XOkr?I21>OmTT2+=;aRCHbXO=huPo?L#L%$- z#a!aIO!Oxcs8Jw8SWp^prhLxXw|{ZA^)3mdm}?+K$F|kWiaI6?cN#O^TM=6CC4dEK zbYVK9KIbm&#amzh8e`A@5-XGnGaR7E;L(Yvcu&1r?^1YR;E*bxFP1Szm7N{TTh`|p zIAL3C$mT1n4C}Y)_#8QF#&?Q({j7_ zFUMINRG>iT@ozIC3hR9OcwP+bKoq4aPv^hJGO-_|p3DwF$820(1y9TVb3*wD}A;233I$N#W>Q7X5r`Ifo!J@_u zu&6PFr!-ooP2yvBSWl-q#*rE z#zV0m-(9CB%;{)7`2|m%-H$ha|3=OefY**4X7vQqRhD>sJ~sz- z#3ys`>waBXoM)xl`P!cNL$V2EQ`F5^X^C7t{Qp{k=&)V9^5J~ABwmHbRVHYVtEsrW zdNbh66x6a86tSNHiVq-QZ@|PsMfJmaK*8ld_whpwi(>yZj!(wjUkoisVFyb_MVJvQmG6bvB#58iz<@eokf?HX>Evk78dVi}EM?*8y8WxiS?5QT z{Num#xo-;Xx4spoH<64*@MEG6}u)(98#=2NvjotYEfu&bN$MygIt(|?2&z}7Dt)c7*x&p*Sc$~EIA8XEtkYbFX(~Q^!82~n zcTl65i)o32t-U9FuJ(R8SsyP9iXcj(bjtyi?h>S=C8WC%3F&S@8l*!7 zq(w?PB&EAST1pxOr0eeALC2Zr-u15at}}l)i*t5-J3f1F7~cTD!{bsNcCfwnz|YMe zinH0@zkBxu(nycQ4M4^D06NtI896ycn%k60fR~{C{(-k!Hvo0HlZ>tDU+6TZL5|G2 z{R3&WLCeQgrSRh4K$xxWZ=}lF+h-Xs??6?dTLo7rwrk}SNTK-6FutbhkY%XjA6|4d zvigsv@$vBlLQol&G87@PAh9KJP6aX`E>2tMa`{=MHsk*>IKWXrg9qo&?XQn5)B`jK zsa6CQ1NKj-*xvhD-IH#@fAOOD8ZJtLz4&s}1_ALkkPJE`?9A;DBxM+;q@?I-Y0=+} z;V$KF;O@?#2&sr2Z=K0z!i?X@Q)bGBmm%%br{dvC071g;+zQ!Q zS@X+5K|viI9T)Yl0YVR=M;GTLRL$e!5`Pa+D^*!Q^}c_-DQ%x#HI09md4;=}gMEdt zKOh~jVZMF)HcX2MLX;So7T-x!=q=QLPY?FL(-cdmI#~be;t2$mqSRK=Y`{aS#2A6J zsBGP3Vjru&3V2HIjhoV1`@3J5wxr>7$E*JXhq)66HPd&xySrnoUj)tt3I(NhJTnsG zsrTP8C@>P2b&}J9+W9$bNZs-QpkcZ$PpVVz$?q9Xo8DmyutE`xNcJFyL7?FK3eHoV zZH1f;!f{kBh49u{*lxQYEJvOZ!{Xn49O}Dqunsw>)iDNF!SMG^tXQ;OR3-|N8PY#0 z?I0J+HVgvyp8#_;&f#b0^7AUAYNYz&JM!Q0P5odyN6VRSe_%CX`$iSDhuV6gv&~>W z5phb#ysUfVuu}KvaOo; z?ZK$S7|~zi%KFW6yKQSxi95mo3ia0b9@v2viGEP7jwb+kUaew&3}9>E0-4JGe_?C( z%s*n3=-O}3)_bjw<}b<_TpxeOWz`xzpey!YB-=Q2-wP-f0g#Ln@UB-2l_I@D$pGx4 zIvr7XoIhR;T){p}!xij%T-Y!rR6p_XIUST7i13H%#soi<0EiPHaYBXxmII`}0LnI7 zX|pgtEDEs^x^ zf#TV}XC_dE7eqmM9j`yaUx#Jw#&%p7Jk7j4^)Ix|VjCsBe*UMfu-Mpu*|QwguGa(d zUj#Tf?mm{2k&%&=J-B25mIHD^9)15_fl}4P(Vzn-Sqx}-HyT4#H2}MwUkxD`HF6IF zE!^=}*VJ;?ULMBldYvE0ITfm-p_i@y(X6cO>}`tczg^pGuC{6bJSV-eB~7brS^i9W zIeXuX1w`l&R+4JrKIUWkD%br_RCJD!+UQV!__p3{KNw$I)z_#673{A#4g^fyGLD^& zstd1gRz;DPiU>L!d0eHSr`JG7K;12AjrTFQ-+UM##4jx1Io6eBc*pyF-f~9>V5EPZE#(Zp7CiBmR3No0tIdxIa*~9AaX>=^7U{&NdlYhfpLIH5MZl zYtWb%0zjBtV183MB>{-PQ3NVU!IP@gb|Afg#SoB@mNk6$XH%3P1Ry=F)6WPJ3QcAI zy&O|+`@cw!I|vYdBMokhMOzifJk_E+XHWlwB2|dIdo?+WJ4+yBmnWz}-8caAFNA|i z7+QoqA|!-aES*rK;|JTw`RoVcU4Tsai*A89rv{*f<#VqBhAo;n(EMOYwX{pma^4dX zVG#TmN#f@Zz6H3mabx@ENjiBDe$8!Z#*1;#JOM&Q_-E7LfX5xch~Fp?Ovvt~aImld zaOv^oH#gjV&SHy8a)SmR{crJp41!+?c58%4tTWvXy!wVWq(5b!){gNHZ?JKEX|d2Q zIn&LJe-ZN30`J{7`nbR+^w=Tj&E7ZEyl>nLL_oyT6GFmM)APBJG^-yhyVhCmzGPdK zF}N(EKlP%kvhHv=-)^g7ZOSH|*a*w`zOkUO8sXA+qHv=`BO12XQXcg~d~0)(_D!X7`JBzNFXA38ZiQ~&EFMiiG}4|V;Xrp#S@ymwE5_qmB3kX+L2eJg zzaU6;{)omJ!}@_WkF~NSRWby1Q zP*9{B+?~}xPiZIEgdlx9qtI2j;=wwZd8>gQ^}1cA+W7ja@`vfsA@@!~Qgrns!`Ha% z;yh9%qMQmlCtv1TTKeMmPU<{#Z$9cYnDf-#Cn|fqvBa{;np^KzS&HN! zA}Z!>yG6SaYTInm0W!MUi9|WN25$l&jr2 ztQN1GNqiw>XFkG>Xd;Mf)W;UC9^0DIsJ%*^iGV-B6 zmUGMi^KNN_l-1$%24C2;#hUT9>QE`p%W8So3I2hjj}gqW-s(}AGDN{f`W53-=IpZk z2VczEIo7f-jY`y?s>et+3jO9l5E?5=Hx7@~gWDyd-YlG@dow22Z8<)4{v75%Ne4{W!m%98*nok*1>Szd0jH z4we$NJZ*NZL=+cVN^V%RHhbrr4aBcBxASL$#Fko&O8-Tppfl`c_Ehpt^}> z6{iP2%;ag5kk-pHMe}^n_kPB1sPT?riRWCy^9D4e47%#4={LTTi%P=`dLadpB>vZl zu9<+UpD2P!N89v?Aud=CvvdOH09FaO6Np*500ZJzQ=TzFZ+gMtnCW9n12BtUR%-3m zcH@ZoozOYS#aOPiwd1?<%#Zr903A>gr26|c%yT_B-I8j1+$ZWjK7XY?n4gaukO1or zxT4)~mx$mGZ*>DY%Shy5l>}G(T9kGPk-8%;f9d}$z)ycSi zY@7*y%!1uLNV^d<{((SDZ-^R*%sS&+Ri6{1Tfk# z&U%b^X`@SOTjHU^fbmMKnVd&zeM~F;>JYOg|1as`35^zd)B@|5-tq~sB<;z{J0(VA zG#E_e|4@`&u`0}UUEVF|QjhM-EC0(z#_l9iO{p{#@TXBPx457-8!&$+Y&u#YD$&0XlBT70L3Ke^Mw4~NR6*L zPX7pByGCoGUYtEs2mLCLmz#g2jOcA#bT3}#h-^BAK8U#~uI61l6+gE5O5-fPO4cwx?Z=ismi(Nv?Yz zoLbpdd5F=)5rI}~@#K?E=^NWkJJ1iEVh@#)a%p~h=_pG?+gE(>Edd77)tSx#KMGp9 z>`-9jnH5Y>ooWaA>Tq74bmVg&D}JPVlkQ;t28}!c_Ryp#H6w52Js<+nhPtiwfS^W> z<2n95uZ{Em2XM**C@zth&kO04f*(O6-yB`g(bJIu<4^hcx&{ga97EY`*oZd;>NBeJ z!+rdvo=1ISBI`hX=pjMV1|+Y6ey)HEnJ{l;EVQC3k>nfh3(cO>c zhAkLk5CVb;YN#plyZiPdmZT-+qYKNn!?xipSlu{{45R014!P^eL9f(>IfzL3G?jT* zjTvzyWeZ$)&uQnGnIXkeK!6mh`nAg8$042#`*7YK+LIiiI>(jmndqXxru&WbLQStG z(myE3=+IZiV?ve$cxw|>lOTbdK{n&~O4qqikLg3%jRqUW1lTKW72VnKDEpCaM`r1d zV!qMxWZdf)!`Mxla%5+nUOplFi#bV&V1*|YVB z4qslYzq<0x39zOuHD>2N$K1lC;nahn2|>7>-2Nr$l@Y-!Z>gt&MO-=JCF z8X{a80%XlPH|}GuX3%e9ZW+Xdf_<7MOiZZioRbynbjM&a#?2c3-H2XR@~_QbTkpS( zX?vLi2N#{u)prMxjxV3gqyr{Ml9hSq*5?I@f-DQ-@%xp{`bSqgkaYf#TK2=MIY-mW zXl3r@)^Ugrk_`bNn90=gK|bo*%e;B87|0&$p|O6`+W$$<*DRHDTJ7XWDni_ivxJVY zw4xt}6u@mi`lau57HtUQJ1Gavp(3z&0uW;wlyGRkP-QXQAEF%W-&%9T(q=o3f9Gdq zmhSJ@vOHnvdt0y+^p{Gh8Ada*+i{1FNy;S0c1#A@{gF#V&E!Yy($L3XLL@)T#XYc< zWz3FXFH`S5&eOd`UY-xe*WIxKE)M8 zt?I)9TJ1L0r$bRpanTYcd&DEHLS8Q?+};W{wKo7+^sFz5Dr1o@Ah`-u8|ksT4feiW z-qCoVYWgr!k^hC(9U%HLTrARGy~QQuysTC$l?z|ujSl5xtQSwqnXe)je4qK$w(@Y(bg4h1nKM$fWnG|}F;78LCg=0Z3kMLAR zv()BSjnfpdbNdtR-fxlSAglX)Q-mr_ALvCW{?z`f*?loaUuE6nO}=X;>Odu;a}DC; z!~A`c#<#RBlrt*g%Ln@Fk>X3Af7jT8sJz0;mp)|_T6v(7nXPly zJVH+O5yb<{7R=HQfg;>VbP*Tn@Db-&7yUT*>lb^Mm{44psNih`gjS?2*T!wvdkKv+ z=N=XA;)M##$gk9+O3=||%9viA2tCX}_>j65TP5C=0{y=txQldvfpyQtX7+_ zWKVJ64VdoL7Z2|h`ckG_xOHdGyudPT?xv4_0!;mBN%O-|OxNjqRcW#5bZs{iVc_^> zd|}GPuWWpM2~l>re-r6#WA&TU6O!TEjD#BmKK`brv?_Zov;dAf!fWbP{BY20bIeGY zx*|?b8L7l5w1K{n9x)4tt~UJ}wUmFbf3tp`pa?sAG%>%I!CSk~)S}KL1s3VL%kyoo zHN})DD{u^~_9J?r7S&q`+G`rX0MJ;E-S!+K9!KL;-7DkXQXysiCILne1(E(d2-zU< z-`!6invJor(lnJIX+;Bnk{%Z?b&IsrR{1my2B+_G-5*h1dIye{_4M{Knjuidw8PSZ z?D2re-JROMHnT6r>D!}(p);DAr2P(!^IAU^I*11SxSOxmZr<})Jhx3@Mo46y+2jKy z5wBSP+L-19bsa+eh#P6XXIW$Bw zJtao{VeR%gxGJO#Y{91^QEKDa3bdxC$%S(lyCxE|rl^Cq zbdxyeN6tSR))xg3u!2PILyCdF<%+oFngCNmG!66zp{y{;n$!5nVf|&21T~~|dInih zqK)+fHV=$D>S0`m=j+~@lDOzWP0m0vRz_We=Thr?zC`DC_PxncpWk$+BkceYjvHts zg0l*4kFQg`zDZRa$i1;bMhxos+pbgl!1MjXfMIbmj-z8hv;i+jj(kwxN(~x&NohQF zzFfPXRLMI+PlOY|avgo3pJDj4+;l=lW0?<@NXyFqFGq`<09!yIN~0t)h{Fo7y?)+A zenBD7X61D{30;c-F+;T(zwYPj`twbz@P$D2=c3mYgEY5~D`+KCM&C(?*HVKyY``4W znG>5O{NZ%R%0t{xHt&&j@yN2$wCM%{Lg`!@I=%_2G6GSWJ_Rr~gkAkjGTVA_T8*yz zx|b)aEIgiP3*=p1G$6$9j>-+HsR#0o!}7HdT;n2fhLeROooMvt7HUe!vnjU%nJLac zyOMeNOZF{!ZIi~aBxA`M4M|%$>2p}DI+OC@sb>`W%=mXw1OzJYFJ#0uiZ|qMG-CkP zzfk2WuG)9M82ElKzU!q5CbP8gQrVc!>GI>tB~v|r z*+yAf(TSDU&g?v$sue>7+}lAw*FC<>`sEd<(~-MJ#^uxo$lq_n>#Z{t@sI;D8w`)qeY%l69soIMAR(9 zL)EF!mEoHIAoccjTCPZGQQXzM9gxxht*=}Ui&USNACR-YE6CCL=_UxvI!5+<${4s5$nuU%hU$pbxFaBUT`5dE($#W+Jx_w6sNiQxmTajw!?W66VU^g^4 z^?o_c48tgHl&HsuEl<^jnj}M-z$+@pmf$Q-Ycmu})6)vH+8nKo&0a))#jFcgw-tEl zm_E+a#=2S#EIHFdC%Y1tJkE!qVj|;}BkSLKhss1KZC#S4+Dz-g<1;rs#}*2>ier_k z&$?$1yt#m)d=yi^+XytHinaM?gu{!SCjy66eS{C88#KJ!kO9m4mW*Q%rN`;$=yv%a zA8nt6Q?#*24$DaUDV-p-VLMrIO^u0wmSs$1*DF>)+mxHf=HsK@dy>Po1HXCD{vEt3F+wb_wPIPkj^F}7(3wwU(rKa2HdZ=jxuX4XS2bg3CqDcH`?ID@^$H0e0FBSTW8Uw+jH1lgJ!O?0$PGkvaM< z*w`b+so#3OI|=|^E~wbHZe+jTt$YW2{GPhR&-@s2u*wHEOB!Sc8h8Vb5c638^FfqR zxgO~e=8PRFzrn-yVQV%54*x=hDCkf{Lv zKk^7B154a(yrHvWZkeApN8L=>w-i>TZg9Y_XyUPN$C8X$6$c(%Sz7ll4qo0xj+ssN z9!&sIKL{|U_S@^k9{Fb8`aqN<2z-~Q7r>g9gs9u9x6e=)tk;2;2nN#!Z~Ab}IE%zp z*6)N_K^2Y_KA6HWnDixh;>t5{`jfAJG9m`%HTpucZ?*vio(=W&V8t)O}Vmk{xT zC&wrz^ zc}iUun{%54mp|u#V+M7JQ7c~A4utw<8Fj=PPo9c{gOG6x#tP5Y$ez1S=U?90 ze^O_s=6}uILOI4tl$HwYsDfav%nxsW)0;}^5Vf&iZZdu;bPMT=KmB}hdDeH?&RV%a zU=_-o0E=|+I{og8Da#8x@eHadY_=y^3!P%j4zsiVqjf$_s3-8Biy)1bu(>hsvL6Vuai+bn}#PbmIy{$=)jD^^tpQYxO;Rvzn`;OUC` z<_CnMQ@EOP?{QY=TkPGdDqHxyH1pHUiIyW7`S-XMJ{fI%GnddnXohwICF~%@OB4ag z8qqZnAp>LkS}krLSl{XbI?Qujz0)8o&pqgAgCBBUCyF*&%}&tW_Tc>lhBf1E9D?|q zW=1FX!XSIc(jOzuhF-J2g0eH`veV>g~zD=(8_&* z*gv@D-tHEm^JdJWW}3)=i&@W8%W-sL_W}^w>+w3?olLJvWG}UT=ozwfToQWM?*D6G zx@90e!N0_!RAuP9Sly{{P>&DEQ(E76{<`N9Bs%dxQWrK};dXX4bgvS|S&5mfo61wm z2T7G{$FT|K5+v7b+RH*gj0r4&y6_JyD2xyUf~glL>iuijbvemtkraEpz1b@6rq~9k zHDwu6j{odw>C+_6N`0EvuPAWZ9Q@k9dG71iuTX?6Mqbv$IK-+Q{>gIAOyfj-=!qx7p<{_0Up^r${4 zm}G&9RGuABcnrx8B&t}GIn;^=hu<^NSu(h_eX=6cya*603% zErgkx>MiXDF%q1BnDvb8KQv;lmIvDPEKCexYl^!);Fc78A!4{Y6&0LkmM38)x0M5tJ_5p;F)PX^g-;3}h%$+ml372w zFZ0bp;tlzB3S>|WdT5p0D!>zgt2Dzl@@~Uu_Tljl(4gj()A6Q&#}5+O9EP|7-2qdI zPyqmHZTOhkKS~9|WfB<+h>8rcleV$6;=|Kzk^YnofFxQx&B@8JOIbmTl<>o;5@pXP zBu>Dr%Klw!NxVLC|B9rxa)i%yT$W;k2!NjHJ){Io_c;1Fm&E5(;}!WM8ysejI-l1G zy%u;5xB(M%_{RYG}Z*_opHNAQv&=HyhO}SBL4C3A_UR0L7h=e zO%0s=9ng_+96VO4t8(0&*w~=&T=~sv+G{ugjSKw?TI0qhY8o1>G{`*aJjOgW5cyOw zAu~F1e;j}0{)lN!uEKnTRPbHd26`X0r?4xfWuRcdLNxZ}E@Y#Z+d+HXzT^;k7cr>m zyUj;7^4`9_u5M;7uIGPh$m-Z20FvFQ%fEc$T~$2&}Zt zH5^A=<(vqC$iRu>{Ckxw|EyB~h-q_okg+dDyQOQP8T!%lPDr^B5YoUtO8hoLu56ZB zjGI(wt^%MJ0iYMbg`>3vig?9*?o?a^w-(Y}XaWT9cF55o{w0P@KAafbvDT@*zd61v zAc+X%8fF`0dQuX0NYVG(c)GsX!wMK^10`Na&qPrmVJXw~8&^0V-xGV?qopFO(dCCEbUY3_c-%MGe^aaT~qHg=#x~xAz-; zQFO9p3A{m`5jJ8W0?a0q$N&WF4afpBXDK59LAj3=kY^2V@K~pIwrUO9>;jA+z_itP zo>w2TQ9KKzpb81RhZ8pW?*TZV0R%x707Xr$s4Cp>>bb;iD(_P7t7ZMEmo?G{K?_r$ zz)+uq_iN@}4;?k!&Ia{BP<6aAs-fz_#EhR5_&GrC<336#uN}MDKRl}8$iyWI>^oI*dPrDMwYm2+@G5o10N3$>$#dJ`;~DK z@r4T06sJ_DX&|1=BqHUx8V6j!q#|nqLN@|JL4OhCC;noz?XTXj5^2Q2NNM4@5c=88 z`$7;usgg!5L^QBG0Vx4WEoNP)(*ZleoDdilL2{_=(OAL+WDw!gfSO8rGrW1o+PPpB z2O7s+$Kdf(c=xdVLwo-UlKM{tkhCOpt+#g!;lh&^-Y0@#+#m?!#|VPEyu)1+_F}Y< zK$^iIZ>=tfQYU(#v2#1wBho_wh)ruT5ex%ribpGuiIj+PEG;$z&Q;XZSO|&+9ziYY zU{WWYh#Ta_>@{AObz_ls3fHTS_*oW$X{W2~-Uoo{*+=YvjO^4lN}G?Vl%jSFplJwM z5JLKu=bx1ji@No4#DYXrmeuBrV%nPMBgY<#o4vhGh(UO#hr%$1g9Gj2(H){)cGq8h zOV|OA;@j+8phdD=EAh)7=%_PL|1H|4?YC&tAA$Vk{d@fy^Ya{}%g4^y+Gm$5hddt^ z*lZ-)p7RP^%`CZbz(a8w?D1Q!;2n7Am#EDM#t%`^h%jVtuh8>QF!TqD3=aKVh~O^0 zX!@N|x8Q~^Q{e>3g9=Y;F*YzK4L|=OR!cpc-7pLv$QXjNdulIMjz<9E3Cc0otC|}* z0M!cs5xj=}Y94YiqZHU>}M!H72J9!|dgy&aB8Q zGz-#5a5D_JC-2Y`8D6)-wh>MH92XWS0(Wkw*NT}QJ^)24y(=vT=BpHhwGp;Swn^N; zwLvzJ;xsKv7<5Okx_OQClnkK!mw}BG1}ZJ^_+aC9d)>zjP_)w*z4{K~;I(JqaH{4v zgBbLg>5X)Qot>cch*AhCVSr>3ltk}*$i3g5Nm|T=SzO5S`tS06ua-|w8$J7xOa3^<=A|Gwu~Zho|f zON2+*1Epsm_GK0ZZKnkj$ z;Fh}ET_I7PpbNs|n#h+%JyEvHzj7h?%z@Dhp)m3K{LLp8D=1w1u(5D)LX2#iEyw9Ft*s+(78i4x!ePR>Wq+*v(Hupst z3w_IPa_g8#KCp!37uo?`Eh#{=01Fe01LsoG2#+4n&+u>bRW=L$XQYE9Y@Rs2Xt`)x z=spS&$&lhR zx-gU--kxbKvlgXtR+~M|k*%PVJfoxzki;q_o379e8|s#JJ$M6ZDxk(q3^jAFHP9Co zZwkOiH}8h1MK=ALRyaCAVVBZhuBCT}^kt}+RF7Ag6P&2No7*>ihf_l$k9 z<$0k>3V_i6H`J~T_6VKCF^B_~Gl7ur;K>2U4|&N{%BQJ7Ma6gwO8k08Y4rKH#S$6z zCGv}7MOuzmHjOP79_97?D7sYKv~|T{H|`W<5>wGl(rAOwVYOcT^`d=O%pa)WGjt*{r7?mZl-1A02!Q&kJv)q@lp z;BwPJlA$ldZPy|iqbQ?&_D;T_Lb#|Ah2NOzi3$~T%fY4V^<7bAl5*l0 zK&!93CZ!#L4ZNfd$2=*LLlkLVfV}x^MG-QD_hNF00eP)as3M)!U1gR>^djU#9`x^O z4_(`AA8l_wrw8Uy2&!v?^a3g_K(%F%*MF?%Mai>f?Tma2Tl3r=B;EMO)1Oqf-(po` zHDa}9b!QEXXYDj4z%B6`mf8dWjz?lmEZ2a&x{92cr42yQmJ4jO~s(%B0;Rg8opQc@eM=JDn6I8nQfY-!~-(egR){z?M&Al5ZJyFjlgqsv@{A>93 zI%w+=oLUXQZFD1VHynst{3N@Up%Qd9w8upcdJ0fy;2%o{ehI#fJ_Di)PUjR53p_SA zGg~{@oLpe!YNzOw@AU5c42SB}$Q0vrD4FfIM9Qd5q-ZHTHkC6mi_Iq!@FKIm8~bb6 zHsF@<-7XuC)Pz#Wod?m((qbf67FSqgNLK1#>v2?X1m#-B@a%V9JR1p+k+0-k@jk{R z$Q~v7@e0VvK(%#8p3n#m$1}Eu0C{4XG)wDk&n}O`o8GI0uPIfN(~(=;fuc{wKt*|j z9M!S_jTRM=qL^Zdt4Rs}OvaG~bPmbExxbEO5{PJM)kS)K+mu22$frs* z7cY1A=6^2L)LG5q6D&0li6!Czz*HS|S*<1Ea>PS^MckqD7@pLeSj{Priic{yJZ)O7 zTv;P#cvBrbu*)tKESCsSXlZcAKaNlIN%Qe1afbRd-GC9-bMkmW7zjorN9CV8U_em- zKznV07=PbMb6C&QQztL^GWbpeWrUB~aKrkkqpn`eb#nqs4ZX~Y)8cM1mKSIg3M)B} zb$h?zzSB@o)IOlEvglh~Pb!7T723+cYdG2f^Rz+&*)TFU!a@sIoJ2K`ww1J zl#`tfOI@Dt?Bkc|-tvy2UIF5Cgw% zt99e}{AX%#p>ACL!qnrjkk0@|4FIkTOiaYsh*WiGK4^aU&zEJIXFO3AMGvt_@=1%97hZ$?(ONs&vQ<2-A?RwGPEI+&c~ zubP@dsKA1epsxHw0RYzV{m(igAJ0!wM8_M~++-6&{y8t>|6_^*mjJ?i3Tx=maJgQ8 zYxAJhdzY#uBU|N%*-)Y6dJy3U6b+bRo2JOQll?^Iuh0CIL^tB^JVpZj1$Y(=S{>I* zL@nM>u0LQa%Xj}5(ft+3P)kJH!Zm^U6GM)e>t|W2*)fjUXD9J3rOxGNFv z=n^(8WxL-KH;5`FIz+3EU8*q-0+;&58Y5==da~R^Wl=N(UPwBUvqc^ z`WBQJ0{}+I4i~G0jn-Q)Uzn~a@jmBVkm^u8%g#4W*H4pIB{$U=J5V2Fa7f2+D_&sE zRugY~SCBL7n>*&*T}FcO2WSW2VE{r_psLBl|Hid(WUJ9ZZ6fc0S(=>!18yJ`TA`*Y zJ6h@WfWc6@9f|Y9^fb_w9t`SoiW!Vc1qhU3+I_#a)I`^`y6TwG?MCH}39YU<@85+u zPyq!E@yWz9#aSbzi7_H(y}A2V7PBBfLy`pf z--_f6z+@_puapjYe;H<0oTo&kbO7veAk=}riZ%h}L-OctYiDZ7BpDHJqGij?0Y2Wc zS3&+4%B$saDKkUO4YB5#n)pO-9a+oX4su6Ha?O3a%7CE!6$gtiGGbAPkcFm3L8r&hS5v85hnL3)j^zgZ8@*#N!qUp09JLlq$n&`i85f>_dQ zy(<^1J$veAz4`GHzr<)oJjaYDz}#g6#5PC(+z2sE=FVE_+^xWctlOGUr>UgsGR3e^ z!ApbDa&b^{QnblOOnX6Xvw1`}GI_F>pv34~dR43#TrO^cqF^`9{>LA8>XAyCKaWm4 ze|#r4m-N_nO!<0=(b3um5H%BW0e=ioiLMYTF=-!zxJDd>XkvVtjn76Blac=rqa;Ip zd}d;TG4>=p$;`5Fntz;rt1&L!AiA+Yod7#w5>zvPdf76Q z&)b~Z$}3S)t@v;|ri(AO)3KEWo*`W~2})F>Q+Dh!uIjOjr*k_o2O@YPoY)aoaQ0I& z1)-$5Nv1;9&6ir2@7aN88~p%4Y#YWkBfzyT2n{N54o$B-SRGav;27!oHC%cZy$KP$ zXBamVSGALO+&4F>D2zfc?&A_@{fXs=tK+oLBtn@sXzEy?gsJ2Bt5X@}1_-zu4$+td zMZLyzKgBPvk=6QPR^r8k+l}MVEj(TFHk>P(W^6YB>J=rx1|WFr%4iLj#PF1oOI%w; z!P*D?zz=g$`IEXXpZF^eqQvBxrEf9hqkyRQ;qwI82t8Dx1K5;~4ILk#gHiNb4>W}* zfRv|g>3)3FV|eUU2?CA;m`GOfH03QM_KY-gJ}AM3gr>>Leb_4C{+m5#@h|Vintlp4 zDXk_J;;K%wp|+0F4f=Jm=JlQ_G=E zno&@#nk98~YwH;_&N@$ksklBD?Dbbq!%J>+ne0wpX?(4o_9k&^#pBttDy4M8>sJDG z3lgX#o{E9Ew*&s?L`b{b`fSz?sAHoH)}-%JI-w~$x-7|$ZT7)dch zPYB><$JKqL4<5g;_ps$bHtAr_t8o^o*3@3sAS1uh31Mh^J@&Jj_ny9Ja3IpDP;^+f zWJg0~FO{-{(K9*F*>>aP$}8&7&^@>K?dGE*8tIFq@)2J!m;{}8#c~elU@~^&Xy+NF zj_hsjM}5~IyLUAD;T0>0n?$>z79_w=N%TpwC%U z9f(*2g>7c(YXHK!F#z!7p3KrQuRWJc-IRfK8QXRC^?zw&)t-e7N%jeXVo>a6CmQkM zit=X1NIzrQ>AMEA9R2(W5k4-fqCEvM$eZ4w(y-qIvsDIYWR#7I zKy@l=;!g%)Umv_Oeh7NDl&}0vinV5Qy@b5Hjga{dG+E-Kk;Sm${sf>YFJ6j=bO1HMp(`Lj*Y2^$ z>EtYD0a_N$5Chi%aTtGo`bFsg&#_5Id5gUaNh>;#Zl3N5-V}B7PlfTu^z-t-FhiM4 zBmIE;782-~f-g{F^cd_Ny-vuCdF`KP2rM9HyXSG2uIDdQ=#8eOsW1hA2bnMe>!OM)_|{JgW$FCEAz?vC;@gClum>|kE7F_;2K?7L7^_N z6(ud3oPG>C|fRgA->#h{(jC?A}h zK<==qaQl|0%C%=6{$~nXA!cc*y00bLIwDP;fg(_wq`-J=>@f4ZS-7bI&PIL!VjdNL z{<9?Id;>zHiPJit?~g$ci{!h{0qjRLF}%=P@o=FB=v2mynT1C>{;vOfB4QUSK&$Id zqGSY8CV!}Xt<|L}{^?hDMdarY|3-a?#I6_ng@AG(2KV{55IsppZ5iqK-0*y zsg~!s)qYP)MOj__`t?tP8jF)R#&Z`lf{*EkO>_C9F5EJijiLuu~ByGH~`HZE-`r}t{y$) zbgrgjupsZYFK%N+~B4Wv0v+hG7Y{zR)5=mAO^9^49btI-~##4fyp( zPsS;i0jQ7-DTzYy_@JVmA@XD`w~&=IK&agI125#pg8=(LgZdxnhwTVxodf4Qa(}G2 zcE+VhW-OoAlt2Koo1$+#BVMB@N>a_%=eR+~WB%ut`~UpXHcj3O{F1RSHT4#>79_%< z9c9b4nF6DMh*AUzxxeU`H1BQ*bNf-ODz2wzv@5ncAFODu30O2#At2!eoF{;c{6+o$ z^D`=+e}0xsrD z)7RI>_7@es2VsDIhY;-~j3_{YZa{j*Hod#=EtxiVABtyjc;R~X5dK)8+a|}tuHM5& z`o#Y|UByczD<_8{DJLoSq~SJbn@QwIx(2K7UVh_yv&kK|=ovDj>5Xjv0maAW>1}qw zT{2fJ_IL_2&Zvu%E+CNPBAX`Jsu5#$rkR;F@nk|JBTg^hD;h?|>b;uQ)}F0sK-{wQ zo>m~N?+k1Yc0Sk`2NyGeYbtgY+Azrl+%L|K0Rs53^G`hohcY5UQBf>d2C9#678V`# z?K$B(ES3=17D;KUg7?5j@L36HAM@DXz8rRwLi|z05LX%jDp%(}tS)|x6@Dha+#%og za*l~wqw|W^#D3vLT+ruvP;yoVfo#ru8v>T@?KRq2IPWe>*6Gx`mQ@HLrN2hh4%jZj zKp&e<)}U8cS5JKZ{w&<#8+cL+fI%K&M`9!J2*->L(Pf|sm8i}43K0|CAP6G@pSZo} zUYGR_6Ps3-M{c#*32lrG29oCLIy#SIw9mtKQ`hsI~Vq>YUEBq}6(4kzXttrAM*t?rwe}a8ONMw{guF~%QSJPor zrBJajvG~iC$90Zk$6j^C8~ny4EN?7}L}PF)2{1pl_I|kcQ~$UK$F9hpU_M`5 z{bq%6c$Lvi4+C2Xs?#LCVY9$QVc(wA zg5!iSArH|>90MXV;7g_`u~gl+C-G*yDYkLeW@ev~8R(&H;D!1I&4?5|xuO+#NDzDe z;1-3i3(#cV><%xN?Tn1To7Y~24lAoI!aAw9pHlNi@?B{yq$=Sg&^n9MsDM7)smOjQ z7Z47YbX1gzd!#&ke3Nwk<6Ypsw4HL$Sat?ZUP{!+mxA=B#C_DLSD$|c3(cp+gsfbV zMErKTLb}4gNOJUjubQ4ShPZi)uvd z_SD_OYM?9sw1grJYwX$lK)U!s2?>wwBIxAp zAOi!AWJLK3F;$QeXMf`U_^5FdQO1Lc{v%(0un7&T@P8dxU`lk>#(-p>2 z$9dS^Lz)^@R6GaXWtqZ@vAE4laeY3GEic=d6X{2Aco8s3YIPWPzdj4}Pi&*@iy$u@ z-m&D)GeE^D^18fOiHjKb4q2ECLX)kb*-7O#seIm-d?ZS;rK7H%Bbw1fac$>43I&FQ z=sYqu&%CG!iBG3R>s?X@tcHXqHLK2JcFW8X#E@BsK5vuEz5Ic`20j}^Bu;+h5>;5b zy>VrzU(KwbeHln>T9CRp8(bj>0p4S2o%sN(Kfg^ACZeB`dPq_jA zriXDKXj6-LP>L369P5Js=JRLjpmr6bRwWh#(Gj@Nw4%CNBS@mHVaMiD<5t9L`&ouM zDyDOqdBV!~;!6hE&2+h#-VnKbOH<(<5O~4ys)Og;Ee1jNVWgk+FGi(qfoDJ|rm?AR zsMoc`cRM7EiU3aXKOx4*RNDn++Ei4eu6PXaZN+V<{p{>febhy$=!C;Yh10f>K>AJDZLLIPxoUdIz*=2fX0_C8Pd(=C3?2FE)UWXIrjjldh`AzPU++lK8V8gOv9U zxwWnBF8I@k+C8dDo?bGYH>dZmg)K;?o$3k%D*woELW-#HAy9c22H$tl-+coq*=)Po z_Z&rABM(OJ%a(8$NjL9?sU@exKmxeo3~2)ibWDCf{ zq}CF^LyT&Sp5DYci^q7py$6~Z0iXw6fQKX>F_W4tLGa41Q@)p_9^Zj6*ub^iD#HE?bKtS zsDV4wz;kYs#D>sL9*Hx60Kybo3=v{BL#u=7l_I32Gcq!YWy?x>2QkN(4ZU($;k97M zdPErKh~l{9wx>fzlA#qo|FFY1$8PIj$k|Te z1x{WYOKrhXB)i`9f5`gEs4TmnT|hbo>F#`Jq(cPhl9Fzu8|hBzE(t~H?pC@Rq(QnH z38g&y(f533ee0~{5A_G!d(X@@GuOm685|mt;}IVdupGMxbU?|lvNKiC>f`bxhs7}rHKEa2-53@5%PD?J^V z#_{$J2r=b-G;NcG@MqX2*0Cl2oV>PSLt@FY)HEJs8V8bpzlK*Yxc`6MXe`$=>5OJf zvNQW3Q%5a`=h>P#X|}zs+%p7poa_hMU{W(KAt7DqIUq8>$9qpYYMLi(5vH@+BSHi!&jO(AJn{>rp=E+ zNKj|;Uxsk#SjW-LgfDNx=L4MNw`g>|SY*7ReA-=R8;PvY*rbH%!H5Z3e2t!^bT(gq$*7J<3;l(1Dp!e4rdbU?h^D9!~1Lz?`s|d1cRr_9+ya%zo%8ME-91L3YBIa zvSoucC05?$7YZ#9$c0|9+K>f3m#nn8s`v`c7mHRZh)1}KnCbB)%)nh{x^{E%L_`ik!O$g$IeQ(*JG2?iud(}xqs#fOd@ha7eilVQQt#u_mD?TpM@ z?_XFBGm_Gv3dkMB{nooGzINFi`|5H2`L^Rda%@=ZjI#TB7z$^^(g`E!R5Y3C7$Qt@ z^pvy4Uyo*20|Ee;{}%ulY`fvgA6QhFOTLfA-&RfZOsO~C@np11=f_Gh2)9?(4-eK3 z&k1K1!_u&qu+#d=4i@qV544*Pr)I^x_2}R0LFBVvwj?!k1}Wv<(MTJ-K{N2JzA%#T zyIsAGb$dc_htFdfIzy!y4r1L?k{HD~5)^xCy$st9n_UPI{!8rKEXb@SxU>F#%c2%h zgPT5k%|&S$#&ot@`JY|aMa4>q#=?s3u6O)ZvEy!wto1;tDd~N(KwLH_+0?g3%LjcW zJ4>7%TUIIzS-FxI54*G6u|n`?3U?0t?r|K>d)<{~c8nk*HLVi3zrVTVzkEfg>M!75 zGBdbM?w`FVpPIKIy{ax&F`-@gV1Y0n2< z?dZAdneseQm%Ubs@HUEjk!zH&Ll0EKOI_Z zY;4ll4R`wYT7*PIMw2G5G@dF10_M+k4gq9vC7&k379BT3I=^_tCz+y>ePVU?4~eMt zh!Cd-O`!c@PVjM3f&}aaK34}CR|fBqPlBJV%Q@BH0a4*>%5F$H`iF>bY@B>t7)C~6 zeY#Gy3W5+ziNESHIaVfN)sFEu09u?V*U+^s0@iW$4gx$BMIt=78TES1#j1(0-#x@T z%I0J5cJFoCG2$x}u|NRL6(FdSa5P^XOu@%<`AX7}h!p82f?kFnSFE5vX)XPMx5IlZ z>yo^Y`CBn6;fDTrb};YJOI#z`e2n^BO9_D35=l7KS{t)+Bs!1?T%7Dr;H_P-EzRxp z2U#UH^!#XjvUCMM?TRplJ-|ztW7$H#LHAT`hRezq7bTXp^2Bom_ibt-;;+FQ!p=K; z1lXP02WkiW*cHhqt)biv@*+@V%h7_NdZknf7xoU=?k9}arwD|yRuXoMiz<**bo_it zkmT1-h2hKVyqCF*2aLstPZ_N*vyYk3L0+r#s}`3(j}KSs2Ot90psvB>N4Cd#4BC_| ze!k+);&oGxw#5d(ftE**NV8m`$0Q;lnYT`hdU3)e0_ynw{b=aF20(V&=<`zeT|&X} zv*#HJ9RlaBgCXQ6?<8`;u3)f&yWf}*8^sY-?~A=b_;B7ZEk9Ys-1T6~S9%X^OQz2{ z5n2!;k?6d-TwYu|MtphI_o^n@C9-@%7V#!`rlO#z6tt&A8TY!KXsx&bG3VUd9? z;8U-P4nUmD0XLUV9YnK+$&XMG7`#Tme@+|Fy6*bG8@xB8ct1s%r-k^s99%^-xs>Xn z3P~jI-E|=pU#VQ5EkcV@6DGUOH)^KBd8yzbi z2?H;CcMqNiX7xQyQ&iqSTY`a%q{5)hRT&#Cbv&P1!VEO8om}~*cDdC~N z?JGSslAxH_DuvoF%YAYB*PI*o&hE3h$WdAO z7F0;^K1sjH)TCTO6+Yy*J) z3Vxlv&DGXVu`_P5pGgb%Bg&6^?km$kfz7f-e{ss*UG7is*Z0d#Rs95hc>j$79wi{F z;(F9}^ltcBMSnO7Roo$;7YnswzS5e9oNDbtJW_pMlEU;yko#_8aHR|Ei=f^QfSdCp zL$syxAXJC06LXW{u||2L?$5VQKg5 zXPhXy?Ej~4&J|F7a|AyYdBBuDCmL372pOiSY5R>fNsEZx=IlYM-}=oDyZ7w(UCuS? z7u<$--u@HWP@w6onm=Ca3?{Kg8+OvC<+;tGEF@Vu8351Jn*9bDqdPMZDnNu)!2#N@ zn4XF=&XQVvke&Id+BWYAo*a0KeRq@|4wotKty}CRIbM(NI6vuUjaypA-GU6ah&4>A z^O69n{ik(1P_2Up!-1cpirzJ!7xlif@MN=rMmn2SBK2Aqp<{K?iCUUgSE+utk*trhz+icpLlEKMwk4K3Aw*(^t`@Q{l^G%8|aJ(eZ0A^j; z6Q{x!|3-#`?L!i5sbzl?JORBlL}Svrb?rsRD@{s02pbUr+ifc>LGrMUUrIgjzOw}K zMRvk_X^yAIaqYn;c=C``8P^e`4xNa)K2BABzx!WF49d(_auHlid~T5$aVf66bUIjE z5q&}tmyA!s0k<5X!N9;!o+d+L9+*E2_m-$O{aLfu)^AeS* z_EeiRJLls^EcT~;+#!lML@i`OZbk+L{OYXYA=^Wr&G%~prm-^C;SOE|aF`@1P{o+S z4mi-QPWiw+o3S8kieUKuoEIkJ9c}~uy-+V0iaBy(&b&jj_xPsX}Tkz)lSMODCB~FvUiTYmkYcsF= zMY-|!bolbpAQ-zbke2FSbvezwRFSodqdr^LRQ9Cr-k?fcX%@HNVGHssrJi z^xy2m7-c|P(c4%k>c!#CYA_BpuI?czYm*(dYKjb=cEnIB104V25ptT|Ax1R_Pn_1f z5HNT)&AET2UFDetfX0eP>I2%~TKe^fACfqTh)76wF3?3-h(B|TtrvWdvU@N0g0V#3 zXNQM}A3IC^4*arF!C#&~P4=Ra0q!ja3$8d}CP`Z|9i^304~vjQzS_X{%s32;n(0rE zPQup$=mNGs4W7y?Tz(XMcd+EpUXFq<9~nOFn6X}m!Gah?$F{P0AEpj|Fo_V4N5{E= zH^7Uc#=U9c??2Rvnb6OMi=xx z6GgXoMlAE{J&A;b@@xI{G2Rge31C>Psqj@CqFVm;fA(x|t*o5W9U!S3Ct!Nspd1ra z+a8W;$7{wnU@%K(0;3#@xuWP0aU+_V8@d{3y}zZzI<&J zXy>Sl61HMu{iJ|BkqY35p12;vDFHRO;ws26Ut zqQMx4YjLH2^+(6RpyC)4l1lq2+0|`RY58xYmD&YD6lRr4eWE=b4YYDnW^OXXI*gx7 zRf|wS?u`(v&T~k9dD69WflJf|NmWo(oFNWKF^L)5DAajUg)?L#o1yki`2MW>m^D)z zVeaT9J#|Tr#|DZ>!4o7*pI__YUrpT_WTHN!?ugI``M|D!ZEyGY^UL}1p&B5n(ekU}`DUH3ijku|`P!J#f&#GReD{b7mp zQ+)L`8Dk{dhdBiDMlX}-+V4(;cqjdD_Y0X0Pb%9V1$lT}|7iORDhq}M3xqxs5Ydku z)`2h?<71}sn&y9zjysWBK*!@692pKui`Gg<9dq=KIj$f`;m6enZyzv#x%RX;Z-JUkT|7NJ8Q=_HI+fft2k9p!i z8FE5NBbOIHXjA!BN?sj2jJ7+&+iHJ(HD|c{V>rnwyxI3SPD@`u{|_~XgV3(Uwv`3< zG5p{}U4(Sh?fNI#mEoJ-UqEkUN@8FgtE8U1UREt3k1e5|Z?acWSaFIK-Q9ZNN) z>O=Hc`u%}Lu!dd?V0^ysJv=>c?7W{s>~`-<`W{G@ zu;tj47toc|pN<(-{*qnNgJG#0gx6Rvv5gbHc>iOPu6SKxwe8)ZCM){Zvl%Z|TmeP_ z#cFbZi?_xd6}RBhgqz}hPztSY$GQZZUN;}I4bCH2Rs;tJ-|8ju_$J86ztEIAHMs7+ zb$88i_cilCB=nddN2Ef3MO?pp2KiJP;n(s5K&l>0rlB7oJvfElApSKt)dXW$Wgc() z37ZJ7nP*Ms1uHOH)wy3v4mQaRm|0@xsWq;XrE46Mde;MzH_p_wL7^Q>UL_h&$3tzWISwJDha(fvwCanwB(-I%d%Uz{|1Z zAJEg)ok|=9qM?zolmOsBOS?dMJi#7|n!Vic zOB`Ua!uirMl$^IY+q05p-N~=vR!`6K9=z5uohH^3G2=b&7;W$C*DR3xS^=S+S9OHe2inb#O8WbwF(^UozhnQl zaZ!7g7A6zO!Q*D>2jzAf*IFMlGvI**HI)X;l5vaDKjG)4MHxjKEphUUpvwc4#= z_Vy7m#SLn@GHsK1{PtjTZkZf5OnFj6ntG%091$MX12~r>`^MkDf9|Fnh2pe|2ck;g zoxN@Iy0T_PPq8|VRE590Iek-)L+6d+G%IjF!QqJIbOO^TOX^$7Om^}?kARO&RBjj6 zRAt8V2i!M3J-s&PZMlRPsu08o@2(mgTAU*99;|I%oE%PdB(y&0k)^DF*QR$gqqBQ%)MG1hmRFp))OJHveT z;XbTW1np(GUpH8&^KC8-<-YRUZon-&cKQaqjd3?zuvMlO6@M~j>L_Ki=g?zkxDZ z_ktxe34W67iTk!-6C0-9*<(BZ?JY58G~>DE5~inS8bLf>Nd~C*cJFEte9>b@PF+$_rPbL=;=J@42Zu-6T^- z_GL&y92}o~XqIgk5)b0Z!^w5p35A3AwxsG55`*A--#GV)ivLK`_?=iFN!-vb_scFPgb!gwKnDx?QM`9(X4oca06aeNg}E z{PaS@M=HD4V!X?AmJ7UeQ5-BSC8f$V*VTc#M|pQWtLsqwiIeFr*mK;GV_t%Y;!FUb z%M4;1Ig-pRQS9V^h2-q8_qI-FnF>FL{RontAfezM{i|7L0x*6ot?KvVO7_LJi=7Z- zweorMT~KcDQ`VRg6F)+BWmTWfbXp8&Gd78IymLrL8EEfWn((s(b_ked`!$sf9=(Sz zwe8t;9ChU%xV}vo9Y)v@;>gcFAt-Y+d^_=b!Q$fzc+F>NHNND9|DT7OTd6fDkmj)q zBuqEI4@c0~=l#NQ5F_@zE*@pI{r+Out7csE4x&rl(si_~Uu7$0lJ>YlKkSYrnVelBqMuc-KT{SC>~zA*KfMU0{Kct!kfv0L!J4nD+w%pLR~J7&``fbWJ9hXA7%Wz| zb;E4}7M1E&YdLc0Ep8NaW9EW(a|ftteDpeC5?S@VyY8GzTi5}`guHs@%*oC+3nx&O zo^9yf+p~Nywq;qD*Nn#BA?&PQ=WpggpMfM0y!y=eBWD#WW+)hGbaU3FAJ#G076DVb zE4v|i0tOpH`MG@E33)#z;?sv_xPXgEszyl2EM#lKg;I4YaW*x5q>l!?vu#4D>ddAE-(&89AES+S`k1`+ zv)XKY7{9OO;-1>{vlOe-GU14N>PyO=Iaw@vG1vb1T!@9hV>5;?*(^ecS{fsTd)Ug& zUVK@EZ)&~diNL{EnwamkSq|+F*L{76tF=#?(lrMusCj+%a>F@(xr~eSw}Y-Q)QjOJ zz50iV0W5O1xOAj)cx~ZZsdMgTMVfY0oEv^e=eMLniIXyK*dTgl-<_omK+W~-10N_? zTJkxKNoaNXFXgwfcP!A>RiS-<`KH1%5AoSU*mzjO-3xRmhH!ja9LT#Je6 z#iH-2WhzZh&Q{ApO-xlB&RIGqQ;Ll*+VE1Q4jXfP9)ItWMcamGie!W29?qlwp-LUW zloo?a#igO$lIwmihz`#R1E)(nF;bEJzb^ap=@#`VD7BGZW*U~;WEAF=ua$TQA^OGHe z^FQ+oD4?Caq6bUDQWL(w)8fPA?+%1xwW?w^idXdbX9;5W_A*3F*aH-h4AHtNk*}v=o)e#CP3n&N~Q&IVg!Af zH5{3N&CLtdxl-HGw|y2n1Ox>5X{mF(4JVu#MEj?TVs#WCd>i=sxRs>bAW4xHVkV0~ zYpLM|i!zm1RK~{-T5yRp;iuU*23;iA!D7=t%)y;$X=&4~o1ng!>@s(=H8Yt8s(yJv zOd1!Y_$n;AlPr~OpGRK#JRA4cG#2BzI6~i~IN z^60Jk8hO|n*DG;pXC5~KfpkCZhounYV#bstw%&eyP!pufxTjhApne*V0vJtPftl zFU&DWD7X7KHsJOnmdiVMfKgG@CxPETKbDVi6{I~I0RUMwP`O6Qfp=e!ePln6rD(mr}i+!p- zsqupXQ$k808q9Z%X*itwJcJTv!eR6hnM@j9OAP+ssx6$SL~s#WIQ+3}CFo@gn8Wya ziOtR__Z(vAJ4M!4S;=UbP^7ZpzD(+2&0|Z*3kj&!8iuqrWeEqCy1E9zNJ(n z_eOiq!v>rB2q3BRSh`&Ray|?D{aQ!DvGlnh@q7Gj;rj=q2!|wre`an-us<7UP}I?4j~nzy z9Y%fi(~?902v|&fBEsHj3$5*H;e9Y(XycIMZBaZgJty!vl>6DI7 z`g9)Hg%d7423G*EXsB{I{r}RrwV0NzzZ%T7!y+H2mN2`>?-7i zO4&ou+`>e;2|@sLP3DC{og~Fi2R=7O=aM2CGsnYtmCxVnc}N-DPaz@ZKRqv@GAf$NA6bB zeoLcci`HzIDNJ09Q=VVT^RRRA2gwSNP`?hevw!<#B7CZy@-Tr6Q)_xpt0(I*Fy`LV zee9vhn5BnV`Bs{X7>_ALBCN}7-{m)7nj|7lB)4iI^6AWVHx3}%2$N9zv>+fht$occ z54KjF9t{R_JDtR4S?0Z!kVPojkD#n9CK5t!y!o+_zvpeu{Mgodj0djcJ0WSUjWP~a zP=A7=GJy)cNDiLdb9qPaMdi(4onQE2E0kx5y*Mvq-ju%!@~MB|i0#S~N96uzK;K6a z&#spoY{JzL=e12p7XJnU8o|9d(4?YV1D~j+!N4Z6esEp%F86`sy>6rZphI6@-$DDw z^z?}vkgVK%>`l8(>29Fip19GQ?GEbV;O8$oKz6!q;z}0bdl~y!fIy2$${!!Oml&7N zic2_$?OrLSGb6}JSA1A;|ELO|LdyW+nh$=K@LLMeS&_%4_XXxu9`A*RRLw)XW|Edl z9~BpvzrHE+3$tZ>7ul~4aE_k0FJD~4(OAZ`8l*$xQlpUkTP@HERgY*i2?CnGh^-C} z4X|yyCl_XDZKH1*JucSfHx}QP!)g)~gx?;8Uw7lbAWi*we|uO7R(YyhKm6K^1FNc& z)a){mQrQoa`fAJ)pJ^|40~&o`0Av)Q;sUdqmrf{irT8i@;HY=<(+s*)bhxs(dr?Ix z*fSIM9$87q(DgWFh}ywk`4Sk&PGKZgu-hKQujDy+P7|PBXl`YtvN^Z& zME{KJ9<3B47+1*iA}jEItqV)XFKb=%y{da3Ct)INkAvbujyn>E zHtf}YH72OKrGM6gmFoLWw2^-)Pp+MDBe-_9JUp!|R&MZAAYU$56zqPtV673wjQ9|8 zYkgG}P|3aX-?Ctkle?F(3DYlKg zdvy=+;faYJrDzvrhl1uXMmf(qA6A`w4oVQnH?)atKGVu3Z z_Y?KSAcC&i?}UUxvF`)yQarpbbLtb&ygB+!WXTcf#X#ZWM4Z^;ydHb?-{=7x?ahb3 z$7)yy1bKgd|KP(=m=_m8Z3sit)NT~}w>4a)Emy=Ea(rAAdtotOJ=s`=Y`WHfkg2pl zh8zz#W(IpVOia~O#Wb87Ta;(1-qN@Ayv9by(iFbxgYSQ>8X1#6O1=Y!xs*d^lsB0= zs(I=xOs>-J9k}@H6oK*w8jSyEi>PFtl{LI%E2nZG7lC|nQ7uSuxCJaUosp1`*mEf@ zEj@~&l$jHpR)5oa)`|amdMn+p;RjmSHEw`GfuV4Og4#wRJLw|q&Qg24GSZIU+ zl8BJDsizjb)BSiXW}oF?m~$0$w%%`JkqP#k18BQ*!JNpG+%k8#;dCmnqr2t%R`Bh@ z4@~vTUyXPE92Z-ygM?hHy<2%?&N9rDhs1|p*yod{J%RTuQ|zd0qexXFHjDqKKzX*^ ztRyr){6WQ#!(l&-b^F{-0?LO7LkJD$i|Rv0L;KKZZwpgrc^|Qczu=YNy$--YlJ48Z zPn+Z8J&e;a)c-(C;>^#62tInxSRCP#E#B{a(@J)~!}3PJ7&}EXZ5LZzW3lo*LKj8v z`p6tcUAcNOu)Jy0c|v4+0IZ6;uk^WVJw?~J-{qwAf}f=kHB%bQG$5LnhVd$wyNwSJ zT#-)FDqgn?iGW3{J%vY??oLm)>LaSGL>12ORu03rlM#uw{<;?K;`=HLyKc~B#?H<@ zchWs)=x_Hoao#ZQ{9|nES3ZlwyF(I5_hj?%xv{UwC*s^Z7!phc_HqldR$-wn$1I9} zry(HS4zMJR9tt5lY7a`VDdZbzTpA=dkNk~Y|*n{v(el!P69un6$uT9)W}+_ zd^=eY_p?}2u*@jMUqs;4uo8x_AEi8IyGe`qEj?Ax7-~B=Et%P}1sFIG_=P#H&oOI-2fdVUL zsvOaUJN_zXmXQtbG<<~(gR4!puaXv$>OpT#f)BG4-$k3uP;9;d=13aADyqNK&1}E> z2cc5`v#G5tw`vV(2vbKG;H&Bd%h*Pu^@)l1PAN#qv$gfgXN*0q=hykgY$cW^x`RrB z0xRb;3bu%mJah-~3+Q#(J2eS4Nu-Gv{`@-MWWrFwyfhK?Zt5~x^unArIcE6ilZZ7h z>@3%)URRK?ul+Okb)En9f@~WUQ2FKV(`zVnSM<$)nTwX zM^-4zxp*dOipRe>v)YO$)I2qL^lDH|oMj#2Yysdxx z3zVWJAOD#XB=v~HefF&9XzD_)q|UfHPlH5>?T>v+?_jy}z(jqhPS?&9UHB$ceI>Ba zO5V$-u`yZrW{Fl*QrPFUnJtt?3?93HCS*Gb*o^a(OuD6CY-WiJ79t4abU5$v`yn$d z7G&Dkf1nbKd$~^yQfG|I9ijL#&)Wof^|#@vT30e}S!FE`h+UI@lxgQ*&%HN|{`lDGwZTt?y1sGZD8&xc(S>nkDo2d2u+xUqH+A z3h-H1`slfnK{nk#)<5(8i@JVleaDh$5E=p7`*u;Mjh>J0NLR!8R#KHtX6iD1ZE+&k z>5;0Anm)gMuJ{eVn5PRjrYw1cF{QdBvw~mhx%?ib z&!^hU57tUDWvd~L^5W@dZ04~6?d29z=$LEflddB>N4i3(z{HHq$Qyfc#^HpMY5-!^pmrvb99CNCZ*<0oj(%y_(g2t$1OR`uZZS{&x+LnD_56t4$)d?`zr8Yj)>_H7qI)R?4@-46Zf=c;_U!|;4*6Bwn;j17$yt;sk+ zK4DSJvINHq;#73Oow9nw$w_Hq*4mJBg&}}qP__Ve6|mC9YK1Mye`!!NI|v2Isu-^! zSv5fLYYgSlvC+{B!!L?754ENBQe4s9)&FT7wE5TRcK+SU&*agyHwq8m)s$C0<~^>s zubS5j4bu-`(tZsQL}hxeLXwbRQbeL{q(g2N$n-?T7oXpdD#^4zHos0?{gjZLyh8QU zrdv~SvRicKcIwYGhT^IMnKYDdxJ}M{){zJR(3Cw3r}%v+2c8|E{0e!v8%8(}TH}DU z*9LJ)l}=Gge7Xo{2Vp4Gp8gUD%qT9mm-{ECU_ulekEE1^2o$R`TE2g*SL-s7oe1O9 z`=C=o2a;Be&MmJF;SntHJfFwzQX*dzaELmUJ6=GA6=cIgv* zoQ(=lOTI$VE1xK0Rr&>f=H+;s@il_MLPhuUC1S%s)&3A??aln z_VL@6hXF<#rMi)SLuVsprPr(g5?tEF5@jb#?%sz%`WZxODWdy8&{jT z+aV*eDMHHU4yvnp7T&xj@zSC+VPTD$wVsd*(w%l5ko1JgZy*>(W5_&eKKINcw zQ`J)ccjjDZC5#pcEEH{t{%3&Z#=K9G=Lks-YPD*jcuAXhYU?!IwKFpJN>MArmM+P8y_Vij(ed#`yVikxA}%x}xQNP2O(sOpuT zH*qvwXr>L`y!0c0qk>; zwF5guD#736xvj+%^qD_Z;~B?ng3>}u-{Uc1aFKGcOyMcd*1jH>Iu3`Hr$Ob49SkuX zvqDu8txXp)XxtqbkSQpUJ5CMCy@zmcF-g1z!q?6-V|6&2OH(w~p{PMOtr zCGpoIpzqcy2{Z@bFga;9QhRBz;^%k@zol*;`QmFY)~0jRcP65b1mS61TMJ6KK9@Mb zM7SAvOnAa1SGM2%KuPO}^tud0bDjcvD=YuL9$!AJqTC@tcegO?mMqrW-oyGY-Vun* zst!^5q7#_znD?7=^DCetF=^8}-#5RDN0B~Eu0PTG9OWjDt38mnY1L!}bBt2+b?7b4 zX3~@XP|pweTvjcJhJK9a`(S!vJHm=b>Yi)#OM>E!{PXh5HD^p$qNCrJ)5VdGzAQ#=K6bqTNIikbXQiuiP1&ed9hbHVGd-=5@{0 z!}CGhw1&I9m#pccV=$PRolH7g=F*qLmja(H_z8hZ4z0!|6|U*WO95qbrVr&&1Halt z%Pw}X1A;59!Xy^K>i~mgG7F$0V)5nrZ-ENTL;L`5IMq^%LrWu|%iY1*iY9cYK6KD8 z{GaB~3qvRsBdxI{rzSE*$1+9{g+Uk5c?}V^pz5}A9_(JtT5(~HAlP!j8LH6aE>py{ zEAr)AqjmSdFYMvHA(7-XrucT`Of#1#l@?Ii%Eqwd33zK(+P?h$es=uLI9NPA?Zg4e zmZ|62(=;DLeWkL2O`w(oKm63WI>Mwi1OtzF@J}I~l(RO`M+fH}tU4Y-qT`}j>?gFf z)O3LsUqGr2ifQTDLM}V#n&5p4=!1@?f87l*2Pf~C%EFE|!KG8jqxE8Av5}B)gzoO{ zJXB8KtdA*Z^b%sAw$NrPCF0bb5P%gE`H8VLnN6uAD>*kx6Hjd*q+y+eZp0gG5w~N@ zjeVtpA|r%yDqnE*&rO zMcx_=DssT0HBB&MLn+PE)Xj5Y1CFpX84k$qToo$@*9(($GtE5OGu!XAuc7tLT9>wz z5#Ug)FreCW88@2W)F%9#i=QV8w1Y7(PISX{`w@nRBbdFOOPslf1^|-CWk`=mPB#v1 z=TZ|#x*cgtIF4qPlVd24@b~(+=*^l6RL>rJC5BROzK=Xu`QIi(IZHK_ZIWyw9Dh zOg(3W4Ml0d6I)i}q#g3uVO{XpMM%yozttac1Jln9nt4xQuXdTlQmWoQn3Yry0 zKe#bfhA3xb{lGG>;HaAF24C-`ivdNOfS(S9COXzHrd4KRt{HB+03{bzb(Cv$+UxXd zO%z=)K`M>oV1EVUj zSrw2429O2#11(cQl?ZfSefBjOl)UOqY~v+0)T->oZm$8MWg&TvCN?>K+x4Q&@)($& zR;1V++@Dlkh?W*_vJLa$$`HXjC?a@Gonb6#Q!v62ipPZlN?N$pHq{}9=Eq9CJR+7K zUUOFGRaTxJ%#>zkW?CV)*3>v3d}l(7w^~v&-j-DzlhCG2{8?pyOBJw6G1PH_7wxn& zBJA)KQAR7XGf_K1?|*-3IKr3O(&C<99{x(zwA(2XU^|&1- zLen~C46q`fMHS|M@cv)LvKubkbcur}obL}cNo3IZ8PE6`?vuWU2|ulMV^}7=9N%m0 zl+k&++j;vWhD{&d#(|6Z+wW{Kk9AGtmWo;pm%l+?6%uL>F{9pe%_FRTHfMH+-fn&F zT}YkTVE5e4%$*L87GVN3-0HMqff=I1)6>)BTlsLg?5J4$BR!wJz6)BttN_`0g?)_a zU8&QF+vEuNgQKyO_JfpgmsSRhgR9Z_h(I+BZS2+V?AZg8p)bA9RB zdYdMvdJL)jbQrUphA8muw(LEWl1#PZwT8BYgiS-w0c}pOl~AOA&Si9>zTS+{*oWbq zDZVH_Yf6#vU(tI>hjU?5Z37><4p?^i#X<0Jnaxcg83Tpy8xg?`8|Wm-1n#G@^dN+Uq({~hVFA1ErTkP1{pr7h!@XfKX7nTV>Lwa5v}^X!85ah ze8Go4fYF3@tm}N<61XjcYv?uGPL%FcJ+|J!6==T5G4|2^l2x1m-r4k?+^`tSvPurM z0tGxU2nn9gNv>r4v9$RduP4}1t}uwiU(iWwvP{Js&eN}G*`Tz0Vj|wJ1+*R734wuQ zH`?tFwnZQ4yiQzOR?zG}H*81tZmm$$GV|ilqNMC|Q}J|v`Of?DyaGQuK`^D=C^}HO zH(y-9^GX{VkQpSb-T&e%^um8qh9+c0gR!Mi<+9ho>%Wd0S2epH)>JK@NH8_?7NGS> z+!=?4BgB{g9)3#*PF?mVg@+EaF`##j*(@W^?uzB>mU;0+>&I%m1l&8pI0 zrjPp?n(J2cL3o^SmQFUyp%1&XlczMvYsYD&P0Ztr8*Thl!}nj^N?welo+33hn?~jb zXl6V7vkrP*q76nIp`{RL{sO%VDSpzZ1mleZJdp$qVM4i)*OcVMGX(OV-slv>#rRxu z3Y_$=Bn^E@Z?j0Co4=ATgpPv@OznfM0PsRNv;H6(UF4(L?4Q0R_~^I~h9K+3f}jtJ zmyh54p=HXK*)x7+Tcj^1JTVr=PfW>&De7)tMH^@iwna6NNl8n;>*$RlXcU2YRbE-? zz{gc6ZCgZ4t{S@UbsnVfn{Q-W+-#F9u-b1^->h4&Q#+T#PswV+QkFPb3VKA)gMeQ9 zx*NLr0cyXHRQ_ae9%0g2S9NXVI@L_l?e9_Y%AFm!gkVAb{UTW6;^|>s9TK0PILA8i zUdsMfOW@(=XyI8NHr2`^-N9ZE5%CkT8HVjQzP7JF<};h&R0@r9Zm^sA1Q{O|2cp8^ zwb_)v&~K9IP(!ln0bEe&i7OFY_K@Lp-rAQmlQ}1JEUdYPZr~3ROBTVqT@KzOU@UoQ zDIHXVThiTdBhWU>KG7-^vT1lGs!v65Xi?yiti1MhwM#=5Kg0R~VaNTd7nzLspk(n& z-LgO&B-k-tyw>T^{^5UM8xDFH--nyxs4<$_BBFz>R>F!kT5zg~+nfDyr|F`+8>G|% zx;?G=HAKCq4o_D{pL5TeON?{o&Y+rqK)p*9RTF6%cu8+2Ir#BLfhe#p7QR1IKX%51r(dO|3jfy?azJNG{vj|XXt%<~>ZkS}lzk}($3>fGi-K6tL79%QX+*7@ z1W84H3i~^w_{Y@j zb3+A9;Zq{cs+m_Oy%vQT85zZ)uwtMAqd7=dCguGa^$mD3i@Dj*vnv~CXQFBiv+xB% zb`X~@jkj%SXx`!@Eo`M-_`;P`giN$OA;U>+DciBz4_3gJDAgk&do2fv zK10t02QPBtWxn@)FISX4Wdx_~n{%NTp(ju8AwQx+VE0KF_P{Lg9cY;5!lVV9AmhcRR*ESssiU zx4BWau~GI%QAW|g=VBgy7%P#Ei24R^rq%|4R>PE;F+pg`R=8?;?tl_|oqKncr2|57 zM*3J>SM^1-!w*)Rh>0_P*x_Jua%%oc6W;Kbfs-9w^NCGQMw`8F^@#koZn+*D^^w14 zl*&K6qG~#H0GdT&r|Z)l+vh+mMY^<%*CtU>wlMc-Kg!6*+1i|$Y`App3E9V&o67T# zL=0S2wsxcdO#$2g8@}-E8jt@}$f2#h?cJFMZ!-pNqb`@E{ z==aWkpK9IvOwPn_B$+`2#g5R~fiJL|i(Ie6z0T%cEcNHwlyZ*nCr8}C1X?e{>Ij8C zdvN!9`uc09KR{PZMHOECZ3AM(noM_;E=qC9^lx&EV<-Q2jW#8*jBDkG4jwTi5cT3b5LqL!T2y3=)$SMl6 zYyia0zwQnEHN>7dTvhgKBCoi)tn#~);b8{JtB7svN@fL-IOp)n2#Qb+$s-sW5w6cy zvyfCh;{P$(amZ1jh#nz`cr1P#ES{qvsxmP(iVXa|MNE^=3I<_!59~vCL_RC8lUWWV zZ$;AyFr+$w#$L8R$QyjKRCt$JNA3#5IOyv!ASt=?o2H*Y)lPXC{p{@QBofP@AV7=* zG7e*?j}bk#B#AC8`%rEqItsDHL=Lc}RI&62s?k8 z!{1N)Kx{K@c*vtt&uv?e5X1(b5kn_%q)yZ`Is9m8xKslOsDzy>o?Bb z0lczDA%AKO1uLKaKW2LaR7HQh9v(6>OSbtS_D{#A;_H_roF80rpynkLnd=GV$Nj3-j&KZ05npq}sS+5UD1O}r(HhkhO3_s=lhh?dfciyP(krP)S_}@@ zr1fKo!`JN`j|=DM5KZ(Y7-a$_VggvvS^#Ac@~M^-XFn9%2($zFC(Z*Lc`nMckB(^; zN;)r)c9ka)(9#46@H)2uM+pduhxVc7+ZMP!XsllkJ*F&_eV8&NqBXjRsg{*)aBZRV z^@=^9>*U4TCNsWlt1=s|ZXhM9LI<)sKm(TVv5kB8ic59=NzBg_LrE32cQo~avMbJB zwqCpA__mlMaLH?n(84X1e8z)MC658RhJ=JPnuCo(ID@Y;RG5gP)g|et%2Sq#-!gBJE!V zJh;X?kEww43?Q>05^VYQY7MYTW&@^~Hlr-cJ!zwlWKaCSa{5bhd_5nuII?p!XClVI`3y|!zs5+0G9Wq9W<~y$& zHT#4%IA)f#{!|V%{dfmZG3MW1;bt@WP)FZa-=41)kv?uKtQRRu{AJ~VAu`cS z9yZ-yBR7_qB#1tQI#_CkJjg6da1JK~YN|{j`u{P zt1vFST+WKFCBUh}2K_F2>(*G93t| zo$Z5lT4`He{LHgmw-q9+|IwC8I*Nk0$*;Ph#NT*G)**>Ey~}0moERxFn&>Q(UJa&ND}{Xoqh0k(`Y65lU5P`$tAdxY9$rZd82`#A8mfk!ccV$ zX1lGG7SS{d;lD#mAh;aT|=>? zxIVh~_4qsH#oCOSJzUqn7&Bka#hIi_*wBg5lhC%JH+)L8+Ix~?;P=NRtb;4%WB)Fq z!@4+(8o(fUIdOKlra=;YRM{pW&-gpuWX^?|1hLfmka1fEk37vm>rj_`_&d8%=-wEG z^*IOCxoa8y3ue5)4?2u^m|u@7g7WJ2=O+j>GYL^~qv(X)&tZ#z6{*a)C;n%~^7>iO zD7u_8ymxr^p%n6@B~f>l`_4OO*&_nrEfDd!ztEVoBm9{t@OI{VOQSQv(p;ONkyi4i zOo>d_Np+yda&bvX<`)-SdPT>qU-*mexKAF5t>(mu7)s?JbAzk?;$wLVHht|$OscA{ zWv%gdLINGlRY@NQOS6s|xf!x*ymfbTybz<1S#VE4%8CB>FT@B>oN^~L$8k5B+tF-I zQtJA8Jy&QTr}TZY;jj7zN8wN5Rf!3OSv=aOm3%yJ0uxSuSV@oC)7Qe?aKt&Y+WFf(Q#&3mv|8)wHEo{PyWGpept zQ|;nu2a9^KK-#oFpkegE#M#Ps%k6{7_0q7R7qP&hrwPmM%d-E!@7@UNE-!h;j%@-L znHL9K2C&L^e=gU-h+_>RMAE^bA%zdTYL9N*pLlF+MVEn0g0?V;?}<*2SMd~`hx`le z9Hw2Y(AXS?9CHm}tLB%?_%L_|>R>WyB_;!k!5hV|F1rXsGKl9UdUe zwy1bS?V{7>aNM6X9V--%aZ@xEgykCz$PH+&iV6%Z9Gd=rC@~pact=*6;?+|ThxYXLg?z}uWxXn~l2ZeUo>BlrNm$K%b9@t0^4c0hdiP1owTFY1k&BOI zJtID9k^H;u2X^rFeU>2&cYl{9Lc)6fvk5zR#rOfZ55XionCrbA(B+3J(7I{;q|e>Qm70lM5p&zYk0I#(W{4a9WMYTau`=8Lg5 z!Udif);FgHGR9(oDzcHx=-Uv_`uUehILZE0o8# zT|d&fj#Uiw#@OzT?e_(6_C#Qzb=m{X!R#pZ@ zP;K**YXFDs!^vl5Wo>_rdGcF*ADTR2cc#QsJr#@8@*+j7GKRlu$-N=uD8Q$5>iif?ZiHl z=bjXqGT%F#jYd?Rt=e4wusVCUb(>%(^TgMdPw~}6l@v6;^!60{h$4WhuTZaFF=Oba zuzB+!=(TtAVbG;>Be@v&jb(Mk#DtVnH%E@|dYhz-F$N}PDnclFjIOjyfjIq`qiUrx z{cLG3Ud5TgLv~RM0hA(-A{y_JXU=o&rxF+qJh$T(M^0-ksygMb2`;pol!patA&Xxa z-_{h-NH?k5$E}3fRLzaiG-ZiE(+C@e@u0dJ&cUw8MRW!(6v;WEMcqobpWs@cfeYxt z-^ScvpB35Z@X=P{di|FP)G@!St9(FQjfN8ci0uVMnH{!lDixvBgNU zQ81?BXHq`kdAF$j^NV5G=NQb8KwrN!@0KR*d2-@xLZkL}ZMv+Egg26}6PEvV;yk?* z80?*8{U+?NlNNt9|BH^q)H1VYFkZ~`2WSOV)YoGcKN6q^Qy^zfP%q1ocp#J4*tHPG z)^>H>1NeNx@mlj)InWrgN?t+?ldGd;DKGoA9n;rY;P8~L7E9kI#7*sCKRmqQZRX`x zt~&zcp}1w?#_8cn|HNnZTyM-{LyP5#i zUSFX4S$_`jRJP)Z~LQ9D)vU;`3e%luMd1&^z=?eRIzZZ6jQ34pG{Xl+8 z^5GG;oP3H3`bwx6-c;_C;>}$`WS{W;>q5S8K`rHktCD>Uj{-d0n&U6u_;@-~b*$c3 zCW(HrgFK&qZu#}?EoH@rL-*K2`HHk9mJmXAmlAGnHrPqvmiAh%Ku%PR|2c{ASEbq? zs%??rbx)YWN{OUeBVP-)J_~g5=IiYSldC|l)p3z)_ke`(mSlv{TcV{5<)C$sy$|2v zC>Mh3dakCHhAAnp$Y+CP(bG&O=X441hee3g2IRsQvCF){ig2@hfOBm-nP$^a}VDUj198+JGVat2uth zve#0VKix@MLxyFUhB02-GKHhR&q>D9mh@pMibwVfP&kef({~uvem3#sNSx?c9>@*8bP zG_?x2e>>M-PWWc-XB}xiF!|f6lds4WXQlS98QaTO<&Msz%9f5Q!BC(YOiM3Nq|Ite zlW5Y8cDue^e;{$>TZ7ve)jJIW;lYg|OW5T{Fjd0f{uGyIgfs`sn4tS^hq;NH*CDX? zV@OAq?-6SS0S@Ba=L(D0JHdtfS)e-UzM4AbL9#>M+$=)X!hz3L$(^xa z{2Fn42w9Bt#F3zQQrFSi_p)yyrHhf-ZR^QTXAQz&I#hSZWgL8H6hjuO66|qAWu(-5Gb{Jg*oj;Kf`^*8@}iD3$6AJD$HN}Yj~O{pe0LMLSh z$~asU_jUxjq|Lvk1<%}Z4F9f}0Gs#|hz9QybMAtm+-#=tuM5>ne@*IYSSIH0<+&UJ ziAV`T3TgNxUKSh8gFU;L64-Z&6Ywa0xKq-erj#R6_Vdnnby=pr4sT zqwa=E3Y)$VzSv1h?RN?DmHZqCa-U^LMTc0}2Gizrfg0?=rTgLjwxo;tyvFa3 zb%#vDA{x*AbHA&=7y|=?x5?I)mX@}*hd@hy(x<3C7Ohknd=zIBjYmQ~V*0S!5zS5vk9@$yDY;Og6BAExpnn(i+W)I*7p8wh^q1*H7H z7og|V1?eE+;-sh)-I1t%kTStDLw*>0S^2zF)AJJ_%VP7-&=YrJ9gb zekx2P^}L&OWl|aJ>C|aM<6mRGkxHg!bA-d#jgG*CdLy+M%tN2Nf*b z3>npYrqF-(JzQ~Y9uyBBDr;5BD>Y?=W5YhW=Y~o1B)(lk z6iqHRuvv-53BC6_sRk0}c=86FuPxhyD?}Evg9<4wR6WV?rAD?{%Wj4_Bb|2*!=2-I zxee)lcJx6jMDXS0$XT}?i)C~StrbOS3(()?HO^Tyr=$|fJa^%uZ+{kRRMN90;l*%I z`bWJej%a2z98sQ9CRv%>fL4R>aE%PfmLYxVOJ|%wPR7m3@c-=%xD;A!6sNzoek99& zJCKJ@69|bh0+(gqeJ5EXP2r07O;NOKJMqzJ*gmg*mme6v=u)Wer{5_*7X%=sM0h#Z1JspkApxiW$ta(+d$nmog^)qbB(KD7&#(z zABQUb#sd@qOKeTpwBPSy2!3rd|77gPSaZfvvEzskR6E{8kJabcSohl2D}nOXJy*wo zjGw0QH!?9YlUg;1hlZQ`ixu%pMJyxfQ@JimKQ2s2Sl52+=)#YkSudsJ$3nJ!#%02; zZ0nN9SavAkag<9n*ZjL^-Lhy!HNp2<+z}P`uR*VN$mWIZK|o~g7pBfXzn3>CKD}V7 z`d%q=fX5pW&pKELR}h2WI8plb$5!zVpj-qQNW0tfz#L^ zyg>MaDXF=uiXD+xdfq#SFfTX3v3)YTgjNl1wHORU~4vT+VvEkSCiyX=z;d zn^_^Jrfv1*7Qbsq;Ko|tnza5~EW$v%W~=K^8%Z(ys%*{H8k^(Z$4EM>JKC1TXwZ)z zBBNLbvE^aGsdK~jobb4?j)CaCC4y)!f($|<=P@So}Dmt>f3h& zz6xf#dBy$7pw)4wKySf1e=lAixkY^A3J9@Gbp>`M;w*J9+R>b+bh~9tjIiz~E*RH9 zbZaZI!O%_aaR(|pS#lJKLaXfPhAyo~YJ(5fWDxStDjWAUONnv1x75TV?uCL?R*3sG zHNRxdb5RCzlg*KP1XsTp)-A34q*;x=*KR0(6Sym3piuSaDEz?gxydwS7X@UKc!Ir# zPDR}1yK1y4(gPyCIM2W$w~CqmIx?_Gm}OVl17OitVsFX4=~aDd@-|jQ{kFsn98k7rwK1@7}j$Z@Zst1VT{Ns^nWHxMmIZuV@a0HN(Ii=pB z@r4*5{iYNWiB}(SWtx$T4c8gYkcS;XotGdj9;E)swL9vNx8NIdc+{}kT55{+^LoV zB4@p~GqHL5Y-3cEUg#xX`B8QCND|;dBP$!@oLok&8zH(gQ!^70^vTZ$j?H1>6nOA# zZ`()lY|qeG?*IPywwR4n4frmXz%C6w^RM@#eSRDWpzyd6M=?P*3b`0+~L5sLhF=s?S-#K z-KtzQe%<{v7o3HnVbpW5rK7IH-=jthvILnj-9^+l(!_T^jZ?I;hYQE~=)|y66NcP_ zY5zMQ@lJBLs%IvIm+Z~zD8;L+3Hc5gud-b8@`}z?ik5?c6})RRuZ9Ngsj1j+ls&Qk zdQv8?D{-2+H-Lgruf})%cIgEQyolygbaJo=V%Q=!fciM+-SRRpmV;h*z)5cK@1axd z2S~PB8)#Rh#O%Aa(70k(`>OB7Sj*V+obB87=$6lOL#m`g zm+L>?#Us#UV|I2nax8pihutG2CWqn!y)xB&Rx~4}*k~a{oL|VkDc8yA{NYRK(#i>8 zs?sEh#&`S2=UH&!HN^DN%Dya$*z|I~9x^#?D&ED)s;I5o#4VV*rC(AtzJ870)YY*~ zIpvA3sTydvshR(sO<&{OY+To=FYDU{vH-&Z?ut~t!wzKoXO4mYzKr1@*@gCcoDATq z31pk@-+?X;0~F4@AC${DHm;hQIEhE9lUDE?`FlQpczh31flaiWPJxhqsotV9zq8Es z?U=}-GiKe7xm0&30;XM`J~L4>SCllCPzC@eE8-_UR-lTILsBp*c_lTTIOLIBIRXGRpbdM>7$E{CO(0y#qa0!ZU*DC}}t`KJ)Cl z3B42fl-xXWU|6Y-DRex(Gu7sWrR~9hZ$X&bZ6L*y&I?DoAY-T8J`^Xvc@^t8$_Q}K zcw1(Fmj^FAFRg}VrOa-Z*+Xw*(zMBM;kW`=b%_cBi!}GTFxMD& z1}wi$KW<6x0Bx*L1`t+_L>(GG`#T__k6F46;E7~RlLh8wTG+<3p&-UdQ_gK8k$)y* zl~TBnhYzLNulbe43ZbOB5-EWSotQktR|kiDDhR9;EXWI{cDqJ7$t-^K)}SpSD@})o4-4V_XNe zwer6?(ed@@#1viFUP$pWoRY+ojtt7L7+9+gq6Z4MH#xt*kgVem%UgypMj4^C5T)30 zfor=0fnDD54aIKB(IF?3%pSXh7U;3MUUGUs+&j~Rn?o%v(fusCj$ zPV+=E*r1}s@1nv0Q9ZH}E^(0Sv4O>+8SNEBQD`TI=z#FyK=`3!zOzvGA>9)h*ArLqfjT9Z9$*E3N3DDBBWpk#nKFXBYvazE0c})+f1NvwAe7tq zGIZKhknpUc-XbxoY(5@~+{&eZ-l=dcoa4-dtq{#L;%T}Rx;B$xLK7CiN8LNll2JED z@CLC6A2aRs{b5`@3x?lL?2>NitDDwi+S?61uM)QV{c$G}z4w1Bk%)oi$|8n6#$2}S zFH&>&ULN_I--4v`5_IrYSV&GNb>F~=OYgH)7EnLD2gS%Y8BfEdj51FE>td{7+a%iK z%7QvIZO4Z1mu^)@ridDEZhuy|pg&Hm)311B3F?I)rpKfOxy|cXp6+N~(S8`hwoo!* z?n}{S-@@gQB_0-X3rE;t^s423Jl>xbw6c!#=dII%f5VWxUFO}YZD8wm+xJ1t&DyrC zu;A;hXR(GJTwhvkquLtlFi182`=oqY!PqwA%$M2%*=h^Kr@#|j1Es_X3Fv~}>HCM* zQD^H(!Fmyt_k(;&Gd?{j1$V(Iky4YiuURubtg)2=fNn1==R~zGvYsLkb6~(!O5yM0 z-$nUvv)a>nQ6$ex1sOHtT&={3g@brA6K};Ab926eO?0BtgL-0X$ zErB`|@(lWutmsz~Q}9NWFbUDCKEyZ6hfPhByD43S(2vR^PR?CzYw1@sncm3eZUJcPe&mi5MssdFX< zSz`&N)uz18|E8dDDeGeH>slW_0*2GkYK#a4Bgm38EM<`9yaT~v5i!|Z7Ov=PwzomK zmX#QT$9248zbVmdLS6^zrck2YZnN&QEM_CjZDeNCW97*gKP`@=usBb5Ch$2Qd3q0W z;>e2&_bj9C_PXX3O>L{{XXoRf#mdK&c2VNb^m|ibMp4>Ke z-R|CuZ{U3*-m)D1R@1=X0kot)r*D@!-v&S}8>ZEh)NPFVuly8Oi{G=A#t3a?h|XyW z1t`xjs;r)n9IGsrI*tsRNVk~-$x%p%r%6n(8FDW-l^X40qDgLh5fKsbMz|U>ox`*y zf$hezI=f;puRh_M&gu`#3s}DtYB@E_YwVsQ_4OEfSvPckDNl|Zky(;si?WPy9=qvM zTyNsp=N!9kfZ`PhP>H@K82I12!0{4h^{%sJ|1W-g3aIQ~tT=rl&5GmwuG2j(zzl?_0o4%2@Yj3Nd}4t%$~5}MAA8m}XB zo<07mlqq(bQ~!C@n8jL^y<`5-3>4`h2w~2PBD@|~QuS@<3oWvfgf!(3y z1*u|ggFKgQ!m&TU0GU+Q>{38+w&@iCyftO^FhM{;Gg53zNbchP5R1hu!wCVr9XtgK zxVurARIp!=)I>;YB(YBhtUVoTgdsleL7;;7Nc3v_T%y!HJ83P9{M&{n#ZqJ5AwfyL zm&7J*IJIi&b#9R)dl%dTXfyroy-k#?W@c8`ciBhs>IgfIJZyAi?}L;$C(au#%0gVR;DDE7#H$WPsNtk@TZ(V9D8=- zZ%R7ua{8n-E=)(nKvgWmZ zCY}?91uu?M@1W#yRK;sR`$9YyEilrGx=}Vm2ZzxVY zqy#XQ3*VL7Q)tCWT@em)P<{KJf8%IJjm7vlNBDfk%6ZNi$@z!5+_Hp{OPVd<%3uo8K#9$SkeK zdxs(3r3Gk4*q7MNCsiZgV+L-~kz|sq;FjCRFA3eWZ?L8>&skkeDY1G2`di2J#HIY6 z0!zY+98b1=C%`0eWY1$MB+!{~zguzP-IF-P{Zx-;OfsehFKWHch~szD)hKxpCMQZL zdGZObPxmd(uOj*VkK5m)yu~)SCsDRO;gO)9>Gy$02^2IiAMz)ZH97ZH9e&J&;ss5Y zcP0EK2)8i%%@(vxc1DwXE~9#4FZmgiysR116(zPwsWK_<#pfL6tNX2!u`1pl>@KkG z5PxE+RUW>nc!V0eQ$h`gQ>fn{rd100`h`s@eE!f~gVr>qR4K$SiID*lVbDQL+C4HA zsVScbPlb{NNDZEcw!(JkpNqyn04n5LV?*dwjK2Ko1o;P<<*R4u2wxL@__gu_P=qMu z-`->^ePZ;{YeHu;@-XbjqMxg*I3|en^O4a)*vki6vpv}7pxGZMF`%a4M>1NtKzffe z21YP!i4}TZ)rhDV%3^0%i7tnU>{ug~cW4nVWWm!&_wzHHh#%7!~_^aj^gltaT4%ShRWgLW>1nZ3!~Nk{6DiWPFI zO|?*@tG{B82ZA_q>cj9H}Q_&kowrd%LKXiA*Rn-ny92JYB8G zN{IEO$V3Ba-Jf*?9!mM_H0Z4(vc++vn+Xuc>l17Xv%ZFz?*ULfWgS%G8Zr}8ulu2F z>XaPKX6I9319{AZ*y6gAFwp%f{+;560kJ-_UR$Fec6EJng$K?1o&NmIhg8HtHq;vI z-nk{WNYioS>YCjNF5`)I=ZR&1R=PrA>$U&=gL}rV!t_twhzt+?_l)aTgRjBlK?%L4 z)IT~`(A7UWw9E(0i@d{PzdetE&wmnH4VrSAUL1g7yKnCq!C3V%ISjmmZ^a9inj(03#;CR4qP^Ha?t|6MFm>X6uRk+U|C6eBvgXCL9K)i} zwJNZw|M}N}?Ew+PBcnsjFY+?VWHLY{SJsBy5iD!)DT#o$J7_^)-SVR6rA6V9R#dTC zjUh?OldWmqVUvjrPKsN&b8TBvVidOcSHlnXb{M_yWnvB$`H)em&uOB6s%<{BxbPv% zBn4~NaEGSRcer8?DAvs{jI#MBQ@t%&GUAc7HBFZVr>ykF+(YAEw(8R-q4u4vaja6# zQtwLZ;n`xjtq_}0>)|(UzijwWv62{dnw@`enhYwwBW^?Oc0bu1wlX`cCLcmbk7Hr= zI5ipckF6B#RPXKYN77T|)p+Iu#K^5uPajX#$`ofTT&2eaVB*B;H z-!~)pgr3&f_qw*_;*1WR)ZwFD^{)l3Hd&_@#w!T^aRy{KZm`YskyK+ahWd&BXJza0T>DW0`%dk}ny{}s033Yxq z9wdaUq)zf2>Oatj5fM(akElV*g)y%M|0j)#L-O7E;nuCwc#Ts$EqpYP6ot&T+n20c}IEkb0hV#)(fJb}@S zn*X>gZ8CihF!t?YN<4256YTW2DUTwBGkC{DYqVG(gg6S3?ie`w9g%vgEdP*+ zZQlG*w5fQ9q&et3NN%d@SU1V5x1NdGFCzvU9_W#HC26RQH z#>#oygp3Y3HsmE0TR}b7**TuO#C3p(UJG-W9B}yi@)!!!z`(do*ld{7ZDc>t5K8he z00GO1F)@Y5X}9o_zapli5PROZm94xD>$ys?tkT<<>gP2&E!Bbqzfsf}Pdvut%2*I* zEYzH1g7(#C$!pOx(L5(k4dWYsuNtSvYK_;5n0EN5z?$(Mj^%`%eJ&ta$CK~?$>el8kNJy{D{l@dW6d@M$elwGUwJv`-0UkPltn`%EXWQ%haVJemCcnM0Y#nfq zu|aoVtF_pN5AQmN$4FaK)ZD8eFsU;hhyX)3c8ZNz=w#*6sEeb*p*JRIv}wA*T-M#5 z`en{0I?6SFy2RAu799p@;xwRqX9hQkq!Cm(fBGII7|RNTe$iwlDR4tp|G9oxf3IKa zcxen36Y1?56*~E$cD)JaC=hO8FW-YPu>kCdxj6vD2Ggl|9MCC(VwFxsp+nd=8k)xK@U{*g1ykjd5iA&#zlIZ2&@w5SG2gk>BTnEx0bNqg_> zE05M+X5L2K?yH5BtJBy15{|&j0N05Syajq)OxPEw6ux|71VnJBBeYPad`0^2UJ?^u zjk<01*3A&K+Z`DVx^zXQtB*j&00N+p<7QxO!Q{~Grd}3PS)$n?O15O#(mCDYk4;B7 zq%v-i<*5u<;@iA!BK!&X8Wv1tBZmm+TErhQlShGVaJP&b8vDD0oEZN+U~np%)nwFb z*kV+Y9d^z~Y()%DO{dL}VPY*UOrWB$7wgL$5fFZtS7l;y{Dw(?)R$Q=ck`LFZXEs? z$e}2Fri?Mmy~u_O2e=z(hMkLQYHCnltvpK{Rvm2)8?mipz7XS+La@P#zZJ}kedV2W z-pBH#+`;e8?|vX`H-S{QGLix7ZB>lkNSnAf^Q!JlH3*5!{@%s5u)lYayejn}_rq&@ z{eV+{dz>1BZv8s^k1N2=i9#%+gc2m2P@kd;#~K`9cw{-kHkj0*UNrbaw~3uX@KBg>EJZ$04AcbTWc`- z@!`IfSHygRr!w8788W_sy9pT}mHHOam)h`NDf)R7E8=6Q``kDTvQl~XPoRYG&Z(7C z%O=Fyq(Z$)*(QWd#<lc&5{A6F%iKH1`Aa)0z-Ocq;fQ zgyF6fY_IF4qqS_b>^v)eEz4DPe6-F7W6j)$>v1q9TG$NkY{@uHfI7a+rjxnn<0Vza zu8r7Il4@71(nQ7F7mt&NyNTzSGSw-a%;L>1r(C8f7RNj>RT(s>Q5#B$PbUA~$iEgl zjXtpItIR7ow>sZ8Hc2dx3L3Ki)Ma^ZDGIk0vvm$DpC1nLzy+QoDYD zxiIttmr>4I61MClW-j%fNAJJ*&eVqk@~T@5!gw~B zg$%3!J$8-KH-Z<;Ia18mx1>Zha)xL_zr+v+@%V8DWddbYVAl}z>j$STYYN0o&+k|1 zNom3+9IB7<#UmvK%}V>@);xnfQa#@ROHzs4!Ir(K-b-V@)*Ge04g7#%;H-#fFYJ?M(5`~=mFD+fkO$gW#mao1SS@rN$q4p$P;_cC? z6mJ(dv-rpw|KOKn=y``OuA8?I)BB~LYyNrDf&1-egPT8oSBVDqYx5l_a`wPGjzZ$8 za6?Z&)&Mj02~R4J&%v>J2X;;W$?H`ULFMO1gsE(FaT9;%va~S@Q^X_1L*Ic2Y*YOA zFIt#Rw$goI@dY8aL_jjmFMPHo0?dQk?~m>bU^KFPnd95uWQNY8MX>j3?HXoMR_hJL z&VQafC$TujpI3T(3Odu0@H&5=DUu;qg^jSs9)}pcwx~MZA9b~}iu9~a8?ENSns(dI zugGOcVv{M9uhUw@rtiu7v_S|DCELvp%#?{BLJ?Wz<8%G{y8iRoFMc&wc*`P_312TH z!+XsBpeU-VXL?Ctmg}b&Cg`*)`x^qJG~*h;D)<78F>p$6UE>sY_MU(l7DEcze3o`n z*WUu4uL1I_viFq9y8@Yh0L?)zFBKabzZ&Pu-CPU;#tN&$5F2TuK;wpb2GtB#(J~eCvC&I$49P%< zHrRFVe}8;5FxA-1q|1T%RSQw60yGP=smfu6TEadg&OCfIxBg$^An?58U4IVkG4)}E zNP*b~R9nM``#%Rc4&)fEl8>>Gt9bA^Opglq@@iDSWb3;!sFFLW=%|XB(YI3%qdW-t zmM8`^q-ah@f1;_UbAEMpoc=$+ONCA!lvvJppzF{bB(Nwd-2N|S;oDc{@1&X5W4Sg zw4D7Jr|%Pbj$9M`If*Q?3W82gaMb-E@aF}{b@Bs)0-&!#p+C`P(8HHU4Hz2*&w3QJ zq9Y=jTRpH{ZZ>^+%%wM!B82gLP4|P7R(R#`H!{jp>{V#Ao7Q5jkto;a>5Kx&1j#tj zxeUxUkC4#NbkyYcmMa_l2rR?vkVk+FoJygmzB`q}%#gP-M})u2k{5rA9Z6Y%1k5hC z>(4ZO)Y1CrmtedQw+wuyluosxjk=8%n@}WV1@~QWJpa5YFdTKx3sJx45q-kapn zqaE8QE_IP%o7OTO*;gtfL2OkQj=?to$zEvs-m}@n?RW1DMbLaX!>+-Z#~KuU7JnEi z2N5=m(#tE9-+#Yb>uM+q50VB2@HAp}cm4$`08~*;p!xIL(bGDsOW={{$G&d?tj~%; z_}bm<$7A(-6SA}BT}q6$SKpx)AOxZNo@#O4^D6aoC~#c;$?_UrFS%&HalJBZOh@;~ zC4U!eRl_Tu++);f1xH89MO9VK+J*u6!B)A% zS=Pzqt#n|=Fbv|2Y3_jh*ONsl@w|_S8hZplCK$Qd>hh$^98=#x3`?o|DJdvxiAw)= zjvF2=!?&bAuu)|1=Z3ZO)9Nw@keZ5QJ(6Jbkrp2aUpu@m?Y4MW-lXGw7RdiX%6U2L zi5f}S**#lFCEWRg6jg1$`)0moS1-s&q{#mwR=iZsyr}nRtkmZxa1NjC{C5C=ZyJ0U zSydUvkfd+`P~kEaG+zSCX$>jLXVL|uGXKILAY&pn62dyz>l)E?UN9PVPhb}aGr>KM z_!(^hGIz{?{-GzHLUg9gYkd~L>}v%AQU3vo_F}2$n_XMCHm7qbj|@7SoS2;W)SZ3& zQC_6rQ%OCC{h6Xu>(O>}3OCMT?o*9$)5K(ijLlMN9?x3sMyHqQikup>%@B!9aHpei zy}y2>a>XPC3Yn@t?LbneF5I7wnlLqx{({l$-q@ z%#O86Pp|{0UKd~TM@FkzY@YAWEWi zFM*=T<8p-(!ofUgCV9H=C<$m4+@eHQGydrj-cdm2sfmwLK)_cPbm}{$l>a=8=VJ zV9(;BZW0n#>ctrkD4--33o`lAC+u_E$ZYppy?Z$Hf0CVDE47y2 z=s~28elRz1m>9jH&pudxUFa<0_{L3A+2fr?D6m&86w5HVf^6R(VA-MD!J>!(Lr_qV zqV`i&i=d#^t3WLY#|fLIk$mQle2$N4#R=G59^0yGYl*m}vy~PfNa@hFRub;qIqyuz zI@1OXJpC%2_?!$;D=)B_`0*j2E?@?93vRL}^mV4+3+S@Q9!J=YyBGQ= zdZa@@9i5d^-v7U9ASgzx2up4Zr+#0cE@AuK?&W?nMfauM$$XBWGgezN!IM+aL`v2$?C z?Lj`7*x`dnDcBPin*q*Od1~}e+bEJmT6p)(o+xP=ne#j9R8jUAHcH?2XgzaHX_cFD zV$G|sGk8P)4BtQzsTcZk=a9jZm|$tb1f4{dz>-AinBzYWbo|r2V&M0uc3EX5)#pLc z2?NUNdm{K@{YO=U8g>~Qj(HS4;boBDxTYLf)!lC;|AI<*DuTHEnFn^;$;F|;Rx}Pi z?>Bw(AGVqLR*Yuhn#P#9gXmU!(ZgDwXlX|6i)CL?@z`#D98O^B-v));ISnhzw^7Fy z%&m(&`xEpZDP`O^Cl^4_$Ng!9!TBiFkRAVx+i5atq?1xbucyTIMbO(mZWOnj!@2m% zY5bgnkfQh|tqX~dl&%l{6PlSO$I{_XSLmO@e9Ty<70(xY2AJB?qz+pT8Cb3`WogK9 zGU1lNf3X6<`Mw=f)UibAhSU)3HD=(Jh{!}yDuVV2<`$bQGuL811PTc$) z{vi2YM+z&BRPCzYGfpdqgoDa7XLwiQe_p78w=_NOHV`cijoHDxAqx2YQZr$YY&4~0 zE`Uv$ta#J*OKswpnbigtt6&~QO?QIl0&UCj6)PsNhsGmmVb_^x4BzlsHGN(kMX{mk z<5vlFxzRghd4O0sf?a3LuSEz}F4M@p@cc@aihG|R;_H1ThE*RcfZ+I*zu|_qf2x< z%)MNgHM1hhEE(0S4Gk?M3}XYcG7=*rGT(Q2TAE#5Sb>*}7QzMiorckM9$*j z@~2Q*dP!Yc{YwUbXK>R$youwzOHxL4vUfcpJ7-oe83YO23yOi@e8E zZb6PHfm@;nx(Z@3Fwq6HU4AudTH!4DB=^g-tr3V!2Lx=9m|l znY~P36%5iFPj$mX7$Q?laad{#VHv0^U}VZzVVZU3V+rE62?>7qv8y%tp+O$|^H7>l zfj(EYk^fE(^I^)zJCQNbqD0W1Xn&rplx0X)Oh-W@a6OOQ27yl^dO$JwRyKr@8| zSOPbC47yZb|9+XS=L(E={rQnogamN33{-gkG z2J|`pHhTgAReK+!zc5!E1KAFfoGq;Mat*$R^Ce8ynDhdmFFBKA*w=k^Qw2({BZ7+d zhb$&$nE(Hv{&xC}X+IYTUY$D_#lS%2zN~&#wwtFgdIXB*rD;Y_9!6vo=!A0z%{dZt z^(^ARQF@kf!do&2+(b)t+lNCyMUkNCERhoZQcYxG6h!cE3#BkWf`zX6Pt4Bt7qZeb z1B!n{_34M)OcBN;cOyy_N%qIdJ-6xWbQI5MVt$6r2%dp2+R^n0Y z(Z&C-XKhMm=ob~-FI|j-Z5Su8S$lI-rO#eu*wbfhcp6Y?n};=c{(7E2A3o!}#Fg0) zN9B*}nZafxCER_PsWM|Fjm9eam#SzVrZ3g=P@$wpIMuRNZuodUZkuY@AFVb(ic;BQ z+Wftb!mT9~Gvci=TCjTs2=+T=p#m^N_g9!j0tNps<$^qXNJXU!Mx!e)ysspXQx({L zUuinuwbGGpJFWUXLN_B$$HMedc+B=S2t6NCwXc2vMbs_>tqcB=V9*wO6T;_p!!x!A zmT!S5TVCl!c&(b=xo+*fe=GY~B*nn|euX&mU-Uyybe&l6kuCSs1JvAfHjQa?bm#A> z?j(<4+2adZXO8*o87e}O*ixS~r6(fOm)t4a_CY#gtW5sI?hUPR3oEgMJa5c$+@W1F zIiiz7;5dNdx-~blA9*MdTH|+BTPgx{b=Bb0zO@aG2Cwlw~nJfg|<=iG#hO6UewB~shsarUYEt{ zuz!54XER;nP$K#{{8-mXhEETT^k48dTc2XU z0)IRj!LoB{FXxf+frOH4{8RzA6BBl)^mR3~+`?xg_!#EtSoWJh)~pqY&MFd~4}AfT zt5@3&a**@=1xyO`XV^%{OCmA28i_QG@~}<<%WMJgX7^Zi!*6O*GAiwUM3l9!_KT7M{zh^K1fEA;wex#evg5MK;sD%TDMGP_jKEQ+u7$DulD-H@^AII~{ zOCF2TdqP{K*08aBh>iQERQV=dPo|Xb=LjlRCsC3h< zob>Y`ooxOhyOEK8$n$`(OqszxzznxGDiuuoJk^L)_16=LHj{K)z-R{s49S1F^LJ-A zeE`%sp^KxHNU!XinV-!F(i$b>JLMeHbFGzu@fzI#p+6;sTbhq)2V>ackWM) z1RsN1rjoU=%otvS@xpdfLiAX8-*=ukg}traPwqjA-cF}ED~Di4wRhQNi%0o90x>%K zu#%Yq31(bWqTp^p9;LSbYHu%3Bu>xx)n=7HT|yxtrDyNwtVbO?@BN9FD_Op%a~PlY zv&Fq51)|Vx92yeRk9@E<$M01fHI6Ip3k6^svdlaR(v>@ZqaSBJm5{iC9OF@oqZ>vwVt`LcBxv+Cg} zkvxR#xbz;FDmBDZ#ti+dy~G_L5ekjkcE&DY@jBxYk(dtLev?eTENAoo-kR^z%qJd3t5Rxf8~{N*et~;SLJvG;1(u& zZ~*6o(N*^n+JvA%y3NETN-SHW`ZECnRVF^Q{7+}TzJ6d~AO~dNXA7x!Q|(2M z?RGTAs(^;S=W=DBQoy2Jjc((Aza5X@MjNXZT4BmfiL>=0!O>VnDC#ka9nSNCC}nz8 zJcn^Ee}oIL=?niL7?bqQTd>6g-NTmfS+FM=#&@I=lRj~Up5^3;fo=ic&Dhn~VZU|J zzsBFMbwdP?qVuHYgMwJ+#wo*n)xRJ&_vj(}=97^MD@HP4p#T}IQnwoiMuKXy>R<#z zmE#p?7W@SYtO3q~x38tp;DMpJrJ*7-sZN`R>!Y=&nU_^%CGNcsbI?bF zX7n(@{^V#i;nSB=0fH+wHIAdz(jVVX11bRC5F+eO>OkcO#pRFl1qXaBR~)usZh38b zm=St6wa|3>Qc>7T7f0D|3}|X36B_>J#K8=g<4NIoMChIWI@f;CY<^*zhl^f~Q{x|t zM)hQZgoG4JU{X&|ONq32e}3-TYE9?<>K$F4xTDvDh!}XX2u5kTM}Zpz)GOYFGF|W@ z=n1$g_K>cTF~OBwqh=0&-jFh+ukoJe0pBG`L|JbyO*}2g@rRXHeH|pnS9G90KzYe& zW4oblhSSF^A1Enp@Y|1}?%m)wu8YXu zuIatA`0y*@kPw<*?(PKieJ75(C@q}9jK-osVtxbEk-$oE9_KyHa6vlN^`XFdrp$%upX&nGnEl zk@i(HscmE1;`*Q_yt(nAjXU|U=*_iwmUO)c*;`iLn(2uTu$b?Wj$J7N$8J63wmodt zMU>zXx!`_z6-43yLeZnIUfAy2Ew;8&WT_CKIG^XgM}R3!Rwc}r{{6C;b*(4f!qD%% z^t@jF`SI8L3jl>jjvadj4K0!y<28-95ga&!7`$(95mYpiPR~=AcS2ElwMLb{kbEsyscdx?R}{L=4d`;U+&T~vym*3kfM zNuxM}R#O6AH=d64n`Ih_kG+gvfj^DPj|Qq)AgNwSgjUX_V*|gDGs(Q>YBZhjNY<~BvKktH+9f}X_rcAI? zL*axhRwR)3CP&i}@fuv6=iH1RAJZczSqN+FJ82duou-|Nt2}# z+V7_qIJGFV9FzZ&l|?4(PiPbiV8ciJ-gV8He2!b7S{7oQDBy(cZ%75PIf zCDtU9iesebxN-+l3=g6q_%S%9RekKF?})yspEERP)7Tw8C$F`Wvi?@0ATush_w4l% z`J=T1B3Q9jd|A|LevL@>0JPi!pK@fWYPW6T0xm@DSdg!a)Dvt z!TnM4R~w+xc?G?q zD7`Oh8_vy4($$X32@wt|AXn#(h_!7@FnUwPi5kvbF*|hCM~=>(^x?lV-rWGxIz|YS z^&Njr`Y<#`->r3Z%#yp7q>+9n=o@?RM9NvR^F}+~i1a6KqN7Y&$!|8?C%_VM$poPy za&;-W$w(9!l4_s|VHF!&-;vWEZe4FgCM~~zNnk5g@#!(uirnU8z4`&AtKDzXV1{0` zxTpVmfv6Xd&E%b;k5bRj>;yliNI0D3TcxvVe-IvLH5FEP|9R)x!psb8C!gvV5dPHi z?3fyMHh=WrQ|C*L7+_(g2vFauu~!Jxe{fbCXhQP~%42GP5E{Z-oB@??A{#R@lV7~& zSe96iETdjj*U5JXd7~eV$vKSlckBSpKmn?PB7^~cqTkIHcBN6$RZY`&P@?q8#O#h% zz+6vH&CCAg{m;It91CM+)>VDZbBq`-B4reum*zlQzD@0i!Gi*~Yw$nn>5HMV`+Y8Y zoMn5;K3JK)HVJ~Imll_(l5Nra^4bJlAP+cjy-Y)nWqM|j zYSqIl$3l9Eg-ERhUTqv2MMK?qSZpu*b16Uh3yjXq6QrW!rqZAD)JN)7gETzaJ`SFf zAdWWa$<*^0HumJ0r?CI~fNg+5qoVDq#C6_S$;0~@QgCUgCS1`e|B8K-CUD!n+F35Vf9>Puu+oBGe4%h z@?43Kg5|W!iJNI0G8Bg=sl9t?kd9R|qnr#Uqn9`}aU4xs#bEgLmn^KRhva#=m2JbT z#;%al2|<3xE!?a_IQ1YOg=jyPOMs3%@ZB#)zxM&c7Ll!fa^5&tGxfm1`1P;&n6fC< z=V8II${K!DGf}J&wkWR!zxLKZ{B;zZGq^A=xj+=b1TN|yR|)1yE^?0uy_6XmGCx(S zRF41*xrFUXO&FDd1^eDYJL#{8CwE}Hh~_WB(wC_R`SsK0D&O#eJ(U}K1C-{5bke@CJEN?u?EIGX3M>ic*))I+f#kH^Kg8|%!mrN=T2AFI5IGZT>3$A2?OY>J;- zXSg=io2<IV+i+%rh^fCEOB)a6fmYQ6)u@v}X_$MX_dT zM+RxP-PTw?H>U#EQdk}3pX1EY>QjFHiecN|tiQzZC!fQbQPu{@!}XcvL_KAU_!sVx z2#TJgL*PL$OP}QCUE>?23?(y5o-_C_=nxz>6x1eu>Q@k?0y~*IC=NR* zau~%on-|XJ4pFgfx}q(;i|9IJ!1F$y>DyOAhM@2QI2d9HyeHZ}} zQ1GMAJJI+MwKs!~a)ikWoeZr4cvS|3qd*cTjSgJf{_&7U<7EFTQJ%;oh`CHHl%<|K z{)z~RSKgBJR{!z>`NIR-xDxBH6B5*5V`qqs0-a8ymF=`hB0XhH=i0pBH^&9PEUzom zXQ_w*`g0Y(nMaRViQ}C3ep?+$6Y@-23_zEvQgk;E6xdSGmtBx$F`~Veew?rQ%&_O_>oZxi$>u~+^3EuhV5i)DG+Mu)3JN7$?|I0 zLo!h(hbb+)CvLEwQsPYlYxmdaow zm2^mIIhb4zhxRQV3FS&m52o~|Y~g<`%K9+C`vQWTMyj|&m5s@XJ*D;X7iF|0t__Ap z;I%&2aMv-lf4@wSp&zoVV(`NIj6Th&2_%Gtx`kf%q@i3u=-cC)elj+8)S(8%=l-dc z@;4iY->bk1LL{Ax{h=sahV{sAg{)h49*}vDFrd)_s&UL|U(4$G#y?7#$7E@Nko2H( zhaluioiLYjtV9SgzzA6TAlb7T}=f4jF#Z8MkOrqG0bcFobJNQP?pu zVf91CmHO`P43Zbc>s$tF*_1oX#xTNPx$~(kLVXu(B%xtfdDCC{V8- zPhCd=)Jsgzmwg)D6&%9QcE;z&1mO=%JevB_<>r2vaT6V}&`U=-$f^afl=Sczx^_`~ zfa`meIyfqYqZe2)0-8k%noue~#t!Acq|02z%GVo$O|WM1Bx|ZWuxHd>-*Zk$fbOTU z0^Q(YI2d&-3$JIn8xWJbE>C{c1u&a9?zj|aC3wMbHVUWcXtnEudE{7v_{}#BdnBYX zlP6>LI#5aS@4;wo?R$8%eqy#eGYx8eG`H)Yo}e|F;KzRus*>BET}~$DD2uy(fF6A! ztrk{EHq$Jnw>iEXL-iCrU61qM9J!x+!2{=EC@y6~o5v;FBvT1yY)uHl1iUsq*VJ`M$ONm;pFssBuxf$RB=6qxdxBbokh9ieD)U5 z8Eyw`OJr;YsAJt*wj&8dH3$WkW-}cQ+EY-qVdUe18_2LeXBH$QAR}5+V56@~ZZXK` zHhs-e&4T48gMgZs*psIru6Q&IZ`Fz_H3y6_7Sno$S>Rgy&n+L_-MTwyfv|p@811b@ zj_4u$N%g?!o0_bDDN|SVVY=mUakw~zl<2E4-0kdR)r zJU%35Pk6`L6NnjO`lfx;|L~EI+ISCFMn$_-i#_&o;dT@^?%Db#naWOHTnuceNA{uX z`iQWEda|A-EHpe&@X)OQihvxS-9@82ZWIyHEaW>kfxPjvtQfrdHwhI@)zm^u*SBWr${pYH9Nv!|7 zCG1CWMP(8Cp;=h7l&L~=Dq4fvZG6ivp1lWvV z)y{>QhPl#ezr#JAg4)K`H;EFai)mRH#NTjVcdy#@^i;ilu~`F;1OBcnhYUxWyve?; zcAqdjXSJF8dg}a5pILxG6{&cR{bxELP8+is-CHR!KLIBEh*GJ8NAlDwvQ|?J^_`zn zPAyT2gG}qN2(>{O|9G}u35knY3~f8?K`0ED^~CQ6szqkowP^eiGi8+L?R(=T*8i@z zQN$?EgiC0=e>Lm=W+NyuX^X3qHOQ2!v$;~8tJ9;#qa9`IrxJBImmoD_ghX?j>oK*k z-D*|xh7P~CE|wqHoubk(dI4cP)95IN#SfX$r_w7e$NFK^jP5fwa;7yb&gSQ$B_&3>tEP%(LxMNm7d!3psh)daqotk)h3EIP7Hdn( zL&}vzZI?SeODuAqK68LDNm1CnQH3D-7WW*{guLOvY7vuTtC~*v5}NQw&#*wm$4C`{51noEY4nx({< z!$N~?uA1jir3C^C-Qsa{_b;%e3!n7P(B8ROn-@b#zkmt8XY=pld>4^)Ils%*A{h3;Of3`q3l%^nK)`S>Nd_&Pk?- zusV5g$Z-lWaA+R*bOa3MAyB{kZkZc(`+Sk|#jjtGt)yDmqPXk8sTqT9*RHO7H8)11 zb|E{wo%D}mTm^4B+V&GSqMEQC)UZ|P625Z}u%-kX;W!T_fO<7O2|aAvq-*gF@vHDr zZ_Zb+QZkr<1Xe>JRP}gqPyVII_%Ns(kh*zI|SIln!ZL%s(=V(L! zG9hTckN4mc=ru1__K?_qnMVQbhW}ZrziZSQk{@mWs^jPU{NATQPJ2q6y0vxUDNFWh z!Ame>*9ZP}U*$~N_Eu8^p;sIxiZ1vleM|D)ujF3Bz6o46(k8O!F-x%}$rAgS_g9`r zV^OMV(=TfH*~8njAm+IYXL~ODyiDGnU$YEP@u0&W^#>B7)ulW+GFQRALXhc4$B0kn zCB5+t*yh1a5n`ckV@J!WQqphC1X{Lmn#~2Wchf!G^`<0lQN1{tMmN zCLZJaZYD(|Dx8;vC#Eaz-Ao$8^A`ZLuw~{kYF+so9u5=n*nHAy`fBrKW!;Ja3{UL* z?)+l3_duiTMnfnD3_}PoVtlG>A8`J*z=KV?Q`Bs@vBK!|rkTUSD>}PRJYpdDNNTGMokWmtEu7kceOt3h^ zDlu?i*M=@`zW8orqIB8Br);KjlrGeaG$i!u0B2o0AGUj)(92vE_#+(85a36e?Ki;g zR`7?9PwM)@E0uj1S@Q{VC=4=|ZJyJx2w%3t)S7+al5Fcjk%WwHIN0g*Vc~NSZXTK> zXf=>=JhuczPHLOeoaZV?83_d;0efr_IO8~&$uZQ%i!Ru0-s;oTn#U;r9d5>7X4*CM z?BxlCPP3CGr@u^&`0%ze)~pdB2}v6Oet})0;dNkln`WCwDz%m;Ykz<_31iT5otqHm z4bEQK2DMuOAXo7f)H#c_46jIi7JONB?7Lww8k|MdA*#z;`AY4jQ7K=Nj7|g%d}9%+ zq&T5on67w#_4+N}4BdNTRlwaKjI1w!mL+)ioreJy$B+b#%S&Ab#jKr?#D@Vhl?L{C zY2})y^Hu5d?SV-=nnY);=~L}SK;^+e=RO$b1}McF(R6l?l!YV6i&XSeFv%oI?{TJP z4_i^gV4VnlbX4s#Tpjj$P_)#OTNuoL#yy*G{S5~%HaqQ_z31*hT}L`Qu?joQ^eHRY z$9oYYjF2PNmxXkr_nK>f>d%SR0b6xgCZX+$Wd%xV!w#_|H*74euN>D?!O^D2Abwyn>f72TuBQp33_d;Gm zQyK3AHfHj(j@)%w=!S^?<3;{>wyj;Wet+#~n$4Zz0cMV52&`I-0v2an%`l`NXEV&Q ze=1wH^LmoAA5zz$__MP6?yYiM5|dV%i$f5K`WJ8+?;@H(fpCiDCWof|r@z0y<~)^j z()7N#)0#ecC6O9#JPJXQ$`n3myE6R@5hr3D_^Txbqtk_89Bm)z5;@2LTR%gg?9)D; zHA}&Qzc9kZW+Ma^2Uj`>nEMa=Ot9A!xejH`T6s!n*ty#jT2KBxK4802_p4vSSi1N4 z^sg9FArG~P>$6|hHOA2PMUN){z%lx~jv(2hqvvmtpcZcKtsYEU1vU5xIzYbk*gAUv z>_mJ|WJFnc>_fIdH|hPAo>=~Yq6dcu<>v9q#Y3_`w=fa42ZqpjrAZ97 z;`XnC@*#V>^r~fx+kk5!o^V#!{j3Zh?61x*b1j(do2}G)_##zg>hcM76_Oe%Loh9U zwR+bM!H*XPMX2jWx-|VbTic{>RCZmkW2SQJA<%Qk?Tr!mWVj?kc0q=4d9=2ZCx$}D zWm`gE*h&3%|K%ardfXSEW&6!kgDTc7L)Ty=L**wiXa&3Kfzk z|DWv$ou?e5pp|=9Iifs57Vmw3y`Z%}*u%YgUAR+FrNwDJ3lKLhE5L+J)|qHE%)hyBmPi$0r~#eNR|^G1!Z0w!%=HZ*C*(-3%NK6cf6W&ch zHyQEJHZ?zVx_7%i@RDN8*q;qA6RR#Y7C>7Nkel?W{kAsv9SDFrLo5XLU;`V@B@%zm zWAK#=$DIinwSlH!!Q$>SYSuev(3)Vaf!Lz5$PaCG#Kz|A0!_yGz$_VGegOUpP)Km? zI$7UY*LDwlU%3vN;R5EZRf>WP8Zye{{Abw?A$~%eq1ex#- z-yVGKFXQ%eNXTgy4x}>I92DOCZKQ+gMJZ1BOK)cADJG^)gAMu5%>Xbh3a~%*!<@y= zKkPs)R@13mPsks}fQa{CD0}`TBcgBRYn$I?;Q-a1iC_m5rTVkZr}dY5h2(=X%Cuhs zLXMGcir$_&aH&Ml6qoJe6{ptsUQ?*YSHo~znSpx!El^-8@uEOB-FP&Un7C9wz1W%0 zx+niqhPl_+hek{~$!I9)&=Ej-7$Ij}#hB?S;)KnqGs;eTv#zIGD(kH;EJ6IR@#6hE zVWOA6`y9>z$aii0NiTK?!9GJ+Q`0@xV^maeLSMheJ*3F8U0}tpK;)&(qu;6RkyK7F zcmFyj+J`ih!A^`Bx%VLM;vI-MD2OE~C`IB6E(6zFssDG4rT|z7pV>-h8|w|I+fPiC zX{fK?Cj2lPHbkfnsa&y?lVR?y;kL7fAE^xbjsX_|zAaC#^L)x*^utsV6JgM= zV-nbT#@G&j#J*UHl9G}&ndhp#h7=eEoU_J;(k2Z?^@}0RIG5{MCfBO2-=ND*qc?j4d_3xSEGNFo-z?JXz9z2hUf(^RD#q4iG+1yvDu2grY zD`T7JFVn=vMY+eo6nuL`sc5}j{tHYWhuQ8}8&^bq(~2M}TC1}vUjl}*aS?dn!9JM& z-*Ey%%zKRb!v~5luL3~@vdyMDVA&ieDy6#z))<6z!*&sm+Qi0EFn9=o%vMuTSA4$n z3W|fk@T9>BelJu5%#2+T!`%1`ONng^>}sS341le1wu3&@+kMz34c-E8RNcfjeCuk1 z@cj+DIYJN`%{)wyg{5PXgotREAFBVhl0%IwlxA1WLERI)2go;G5N8Uw+JPv(OciOP z+aM6gGDpkz+-omMkbHk_B@^WB(tBY6akcLp}J{zx{A`)Kd!KcHxI

%@?%SIcDx zLy#()q46OzN3h)53?hy~G2Z5ReU)P)J_o`tW3R;QBh|@3TuE4$>z((NV+osB!k0%bOCiJpocB8T!E7hL|Q;9 zO~%EEjgAIj6Ur%=-k>d0R*E_iDiXR#8cK$(s(%$iuOpj^ls5)dgQ$8@z_|8b{E-q2 zQX!&rg(_YWLhsh{v`>k~lO-xGmCxx_yE76`_UP3O0Hc2eKD=;h{Dm%fzP0pXQEw->(rAcF85$kB@9D8ODJFd9T#F05wD`EM_+HRiAa*8ikq;6;_Fll z=+oAIp6?P{5tV3)bfKL4l7EhHwl_y;ncU&uFk0`l^QlL+8w{pyAa>bZZ)5Cr>`wPI zShrnLm&#ue&(0E!Ov1Z8LbNaj96WUCf_=G`C6FvdlL|BO{ly-$33jP0nUbhEd@{3J z?C@=pYl(f(@H5Y!rxmLv*{qL#e>fBJy=CE#)7Gb~XyJC!)g`;in0Uok-ff~2v^IXy z&RKl4DmDcHO=ad@u#KEGJ!tU5!)^(PrRxz4rk^S%QonCZn_2X8v z(hle|NVuvB)Gtre%jBjB7W=O;A%G(nE$-nPDR!O$Cuk1-dH#fLN%F60h*R#Bvx^;% z!*7MLI`8{C2KCdfcy8-?B9u_MOo3FI`0lvSTx5ovZrz^F;g_Z$VamCfK;Av9GP%z0Q5bCcm2=B8Nb|Po^P-<*uiN%ozf84Gu?;>3&Y92 zRUbIs-CQc&mB9r^05F^||IA63?aAR+ALR4^K}N$O(Z~Q*D+M0LP_TFceuY}f3=%~I zO)tITn^bBjf#5D0Y>@J>hxnlYHTk+o9ArR$U>I0%G0Ear28(mf3z4DQT7C7ARRmSe zqc`*2{9lBxcWTO9@wc>VL2S)lIt9Yk43|QuC&gZXf#}FIw);pAt11Ee4c-vD63Vk) zoHuyI(QMT_{xXOkSq5;jd>*k)DlRLo`hv-@1L zQdeY{0oK^gb4CP*LUN9Ss%e-luqdBhkUIdzEumopzc~_w^_S)`-4vii&`rl2&H=t| zICn7J?lq0wGg^O?ylAV*9hh0HKhz$=uc!?y{|VdQ&d_1CKlUjhS2r1sTQF2=j1tye^F*rhDS3q?Z}`Ps-G5f~>0n zt5u46r<{8G`C=!SfxHJ}L^)kx-HYbeymPzXp5}C8%8?rz6|&gUv@f}-f|xV2WRpKO zxL^$A$PhtdryOEipn9=GP_w)`%|Ftp{hV7;k`lM<{TH*BT8$=-Z04FfbNAai_hx~$ zQ}^mMtn0Vnm&AVobLxGu6b!6M8Mn~w$@gyZ0YYx82&enA)YvA!d|dxzHOZfeV4?j@gB;* z&@rz+%m9Fv=Je`2Txa5~NZ?S-j@znZO8|G%I&CJ?*b4C4lbXAr&&rZKp~66q_d=Rs zO+kyG4R?wDRt-jG)IE$H2VG7ji2?Cj>}RM3q~hPJZ88VAw4o%%aM@Q9`*L21>2k?xw+HY&`P%wqyI(Ufx^5%TOTZz{7=Hd|WOjqw+f;L|~&ySCVW7Wp+TBphY zmE-fFupU5hnN20<_TkW&9Y4F7J+59GD>PSzg6y*l$*n%M#}j^Xy*0rGo(wz!b3AW zja2BXwfjS^)5XvJo6Y^b^`-V?;`^z+Z%w@g;d|?@5OU-0XJ!ubaeKvlH1GJiV?9b| z6}A%ZN9%0cysaco(e2y^W$Efm9w7J_4-_FHc--Ca=E@xfIO6OsDPvUHsV1JwZM7s^ zF~cPv`0Mp!Fu;T9CHjrXdb9*UMP}B+z6njQFXL?_c4U+_oo%*a)yU+&dW33SeokQ7SI(hy=Mk6P7R+}SZMxV zidM8uOvQ=Jzl2z@zlHwBu&-|#+@*ZSUmy3gc(A?LnjT~F{zW6fT9PsX!e0zCItV`H zFja@u%}wxN>z4~hkpk$m93$W*P~7uKx+ahNz!o@vo1^K&FL9@*r$39?yp8k7s8mO{ zl^lq5n0Qfd=aB65U8l!Mc4B9R?27H_X&3(etTD<|1aO;Z2nawJ3ERxawfe-VFL^W# z**=@u7Y#k!aa0=trO2TWMA}+D-O3?)oiDB|r`xcgD$QfFiitL5D=MQLLm%X10Na$wPwO;XUiLbx?|RhWHR>#SGTXuN@ynYap=rTh1d&Xt-6igSo#dox8O@o;1>e$0;yS9)@jDB zuC52id<<-jpj40tzDwP(uN59eefuH^=(@`7eZA1?6SN<*7){%Lzs_^E$N7vlouwl` zE^bB8Sl8%@%8lSdYYHw1zSM!Q!WitX%L_K|N@Y>UwgI_q@xnFUZ z(!>$#(5q`{jZg^!;tRwx7&uRg{?3Kn0OZUTCvTxH2PHRw^lhQ_T2d^bBqDk0y1O@m?al{Mm(y7++e)CiDtLYm~EG?X?T(Rlm;Al~W!R_B@77hP42~k7qq7&|@VCOVoI6g(OQ~ zA2dt$_#aJ0fs?GsWeLrRH9JzG*xxd+4NwjnH23F`HOdNY76^BK{KEeMh_>^Z?y6VoyD+IdWG<}d=tHHZf*v_9N-i*`OX2H#UOjnt;|}9flaTa zWd}$f`Su*!0b6AGbSMrDfVd3eBPd4D4&WLO)~pnqC)_kJ%9I!&T&FfCcF6B+gstJl z5Q+8nW zjPbTEZTy(3&K{pvK?S~1>;**D&Ryh;t`f~zz_c{zgv%V+^9y~~k|%_d;`L(39F?`sNA1_= z-<+EZ!bB^wP9dR(OP_jfa*e>x!==>W^l+sQn6{=nZhnMnc3w#frN({;rt=TVp|9r_ z78Vnw@L4>zB%g#2b94!Q#2)e^837&2Zf+DYKp%NVs3wbn{rp?UEOioUUoK*L(f|kNB zN~Nr$yS=Y#HlahL-ZyW1Nn#W~)AKbcJ9~~AxEo^Y-ZdAl$33A2uA!951h zAZz&ezL8PBDd4!;0q7zBlxcBlAiLWQ1@_HYQk1HZli#_HtN?+dPL16J^Y21cU z_-3eCy+_;Xb|fJF=j~lUZ~+3W^eJ$?_PEod6!Aoyd%G0zQSNicMl+ED?ChWnkp4g> z1lMAat0x_MuVK^E`bRvZ`U=Rwg23C^TDXH7$Nb;l23#U|$n+@?b+c&{0BHbq1=ov% zr8D`V^UaGg=iMiuJzk1{LuI6KjY7O&AkAQLUyGz{y$w4W!D*MJ2j^pYJ^Ii6gRuJM zYP-VKsrg$U*a^CC84E*RzmXY&V0t>wZf6R!c+ZXHN`hEUllpN?wd`R*fKop(cPkB% zSAlGt$(E%cjG}%oRtj|kQ-ZO~<)$GYmm)jO^|{+xv?1c*|GpE1X&~85n*!twZW@Mh z$0Gu0ch2vafa)1qT7KR$nwgtp#6g7~PI;2hZbUIBLn!X{(#_CvfF*?JrmIqLf7R%H z`j6;iPyyt#M)160@e!CqEYKGNczNlm&l6uIu6$pjh0eNOy^1-$9d|PI4 zN%IQ(#l3+bOxQ9G>Ow7=t}4no_MRI3pCjIY9#(jGxa@XkAUdzg>;kYG=fs%Y+jogX zN=d~Cc^TW8(NQBmx_j=*X$C4{r!C&2jdz=-D*R)pD{E@vDckv88-qVU2f#btb3tiJ zAHnT2h~B+^fq=&Q_UenwBeJ!O968g%4t^^)d6S!8&)VTHK!=m!n4$+h@;x79cNXDtE|9sm4Al10Myj*Hqew!tHr&H?gogdZadUx}Gon84; z(?=8!n98IeYRXt@g-|ZJiWs340znj&fJv0Ykr)Vv2nvXZ5CtI-;*coFVW>dD;Snfu zDM6G_ZYYfhP*H(0DI$$PL8w8AK{S0K_z$?hWG0*4m-+7Q`+WB8=k51wfzuAprpw5g zVrPe4dnCg|eVCJ>JL@(f)$I-$X7|*GhllgZ#693&5;ru?*#?NXG)qv?c<=byIjlGY zlX2dimQoU|Z?0eMsR@3>@el%W1{ewikqaa4RluG#>2@u4)#g_53i_7mWowcP%7=Vp zX~}v0mADC$;Cv6DVXUKK4J4us#7TX8$ANkop}}Hqa9#o`6E zVMkI>&F-`*9RSeWDJ?`Bq=I3&=9jKc+f)Je$rTiFj>%qG9L6QlR~KQ_U7SlElPgXU zLLgHA^P|nP=tQZj2BH*b3j)$kzQZRZL*O$rGqZg%pgGaObrxdC(l&&*%yNmV40?+W zL)DKxj6;cJtt*WnoG}3?!g~%xTo@E&hgG~vr-0pw0>w9rzmL8+T;){l+^xLQWvCOlk% zH}GieSZKr6x#)+c!q|W5y=$$s)_Uasl|$5NEKX}Z2DqeD z05nj^MhCKYc-ZKCDr1q2E!=zoPzure1sL^AZUds!SA-j^Clxup%VI2r_7AJxm%Mo5 z0pxsB2}TF@k7^UP>o|GMn4T*<_|oE<@8Zfoj*55LpCX}}X{#s=P~+Roh0YDxJhYHW1G;YRF<_?qf!>85!`m6bo0T9qVeJ*V)d zAW$sPl*{^@()XC?T-GDIlW07r6sxNq1}2;Z!%Vt+%)m88B?D6qWBqBg5+1LzC>IXS zzGcRkZaCg*>Xvi=ecw-nRI@iv>e1UdMc5N7%|ZLY$0v(831)=ibzn{g$5mKLxh<=9 zFTccI&RuJa9I1Umu38@9@Zc_T@FzLT3}$!W}crxzOb(D zC0nT7pFpHO^*_9e!$o?%H2cBF944B|#|?$aAgBS_1bpJ#Nq`z04ky^gWbL^QS+V+r z{2`)D`C9VTaq9zm%rXCekmY|5yN99rQjco8RbC?K`p8L4yP@Wj7-za0Z{b738w_w8 zSkJ1m4p^M3bfMLscfch<`Lb%J@}gN3cl+TdMYhJjkH>zl$mkhoPl{@+FgLlzA=mrB zFA6s5rmv4Zbt*UR(}{O;P%~XqTT3R9LRR|V^Z8U7 hjUF&4Pws78dXO~C9Z!#UxT3FWOV~|vuh|)t`aik(1hoJF literal 0 HcmV?d00001